• Nenhum resultado encontrado

Processamento Paralelo & Multiprocessadores

N/A
N/A
Protected

Academic year: 2021

Share "Processamento Paralelo & Multiprocessadores"

Copied!
6
0
0

Texto

(1)

ci212 — paralelismo (ii)

2012-2

UMA – Uniform Memory Access (cont)

Localiza¸c˜ao dos dados ´e gerenciada automaticamente

conten¸c˜ao limita vaz˜ao → na rede e na mem´oria

geralmente usa caches

usado em pequenos multiprocessadores = MPs sim´etricos

Symmetric MultiProcessors ou SMPs

r r r r r r qqqqqqqq qqqqqqqq qqqqqqqqqqqqqqq qqqqq qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq ... ... ... ... ... qqqqqqqq qqqqqqqq qqqqqqqqqqqqqqq qqqqq qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq qqqqqqqq qqqqqqqq qqqqqqqqqqqqqqq qqqqq qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq qqqqqqqq qqqqqqqq qqqqqqqqqqqqqqq qqqqq qqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqqq

processador

processador

latˆencia

longa

conten¸c˜

ao na rede

rede de interconex˜ao

conten¸c˜

ao nos bancos de mem´

oria

mem principal

ci212 — paralelismo (ii)

2012-2

UMA – Uniform Memory Access

latˆencia no acesso `a mem´oria ´e a mesma para todos processadores

mas pode ser longa

latˆencias crescem com tamanho do sistema

aumentar escala ´e dif´ıcil

rrr rrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr

processador

processador

latˆencia

longa

conten¸c˜

ao na rede

rede de interconex˜ao

conten¸c˜

ao nos bancos de mem´

oria

mem principal

UFPR Bacharelado em Ciˆencia da Computa¸c˜ao

2

Processamento Paralelo & Multiprocessadores

Motiva¸c˜ao

Tipos de m´

aquinas paralelas

Coerˆencia entre caches

(2)

ci212 — paralelismo (ii)

2012-2

Aglomerados (clusters)

N´os UMA pequenos

num

sistema NUMA grande

h´ıbrido?

aglomerado de aglomerados?

rrr rrr rrr r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣

rede de interconex˜ao do aglomerado

mem aglom 0

proc 0

proc 7

mem aglom 7

proc 56

proc 63

UMA

NUMA

ci212 — paralelismo (ii)

2012-2

Multiprocessadores NUMA

Non-Uniform Memory Access

Mem´oria

logicamente compartilhada

mas

fisicamente distribu´ıda

um espa¸co de endere¸camento l´ogico

pode ser tratado como mem´oria compartilhada

desempenho depende fortemente da localiza¸c˜ao dos dados

Multicomputadores

cada processador tem espa¸co de endere¸camento privativo

comunica¸c˜ao atrav´es de troca de mensagens (expl´ıcita)

UFPR Bacharelado em Ciˆencia da Computa¸c˜ao

5

NUMA – Non-Uniform Memory Access

Latˆencia pequena no acesso `a

mem´oria local

latˆencia grande no acesso `a

mem´oria remota

P→M

loc

R→M

rem

vaz˜ao para mem´oria local pode ser mais alta que para remota

conten¸c˜ao na rede e no acesso `a mem´oria

P

loc

×

(N-1)P

rem

rrr rrr ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r

longa

latˆencia

curta

latˆencia

processador

mem´oria

processador

mem´oria

processador

mem´oria

conten¸c˜ao

rede de interconex˜ao

(3)

ci212 — paralelismo (ii)

2012-2

Sincroniza¸c˜

ao e Atomicidade

Opera¸c˜

oes atˆomicas

devem ser

serializadas pelos

mecanismos de escrita em mem´oria

Problemas decorrentes de disputas:

latˆencia

sem conten¸c˜ao

opera¸c˜

oes demoradas

serializa¸c˜ao

se h´a conten¸c˜ao

latˆencia + tempo na fila

dificultam aumentar escala para sistemas maiores

Barramento

´e um meio de comunica¸c˜ao compartilhado

comunica¸c˜ao por difus˜

ao

broadcast

❀ comunica¸

c˜ao ´e serializada atrav´es do barramento

ci212 — paralelismo (ii)

2012-2

Execu¸c˜

ao atˆ

omica

Dois processadores executam em paralelo:

/∗

P1 ∗/

/∗

P2 ∗/

c = a = 0;

d = b = 0;

b = b + 1;

a = a + 1;

c = a + b;

d = c + b;

print c;

print d;

Quais os valores de “print c” e “print d”?

Comandos

‘atˆ

omicos’

em C

ao s˜

ao

executados atomicamente

pelo processador

a = a + 1; ≡

lw r1,0(r2)

addi r1,r1,1

sw r1,0(r2)

a++; a+=1;

UFPR Bacharelado em Ciˆencia da Computa¸c˜ao

8

Processamento Paralelo & Multiprocessadores

Motiva¸c˜ao

Tipos de m´aquinas paralelas

Coerˆ

encia entre caches

(4)

ci212 — paralelismo (ii)

2012-2

etodos de Coerˆ

encia para Barramentos (i)

P1

P2

P3

Mem

C1

C2

C3

As caches usam escrita pregui¸cosa

geralmente

porque estas minimizam tr´afego no barramento

Os blocos nas caches podem estar num destes estados

t´ıpicos

INV´

ALIDO: conte´

udo do bloco n˜ao pode ser usado

ALIDO: n˜ao est´a sujo, compartilhado (h´a ≥ 1 c´

opia)

SUJO: ´

unica c´

opia suja

RESERVADO: n˜ao-sujo, ´

unica c´

opia (aumenta eficiˆencia)

ci212 — paralelismo (ii)

2012-2

Coerˆ

encia de caches

P1, P2 e P3 carregam X em suas caches;

P1 atualiza sua vers˜ao;

C2 e C3 ficam com sua c´

opia desatualizada.

isso ocorre mesmo que as caches usem

escrita for¸cada (ou escrita pregui¸cosa)

Informalmente:

coerˆ

encia entre caches ´e um m´etodo para garantir que

os acessos `a mem´oria sejam coerentes, apesar das caches.

UFPR Bacharelado em Ciˆencia da Computa¸c˜ao

11

Coerˆ

encia de caches

♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ ♣♣♣♣♣♣♣♣♣♣♣♣ r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr

X

X

X

X’

P1

P2

P3

Mem

Processador P1 atualiza c´

opia para X’

C1

com ∀ pol´ıtica de escrita

X em C2 e C3 caducam

(pregui¸cosa/for¸cada)

Mem

X

X

X

X

P1

P2

P3

Inicialmente, trˆes c´

opias idˆenticas de X em C1, C2 e C3

C3

C2

C1

C2

C3

C3

C2

(5)

ci212 — paralelismo (ii)

2012-2

Protocolo de Invalida¸c˜

ao

atividade

atividade

cache

cache

mem´oria

no processador

no barramento

C1

C2

ender X

0

P1 lˆe X

falta em X

0

0

P2 lˆe X

falta em X

0

0

0

P1 faz X=1

invalida¸c˜ao para X

1

0

P2 lˆe X

falta em X

*

1

1

1

* P1 responde `a falta em C2 e atualiza mem´oria

P1

P2

P3

Mem

C1

C2

C3

ci212 — paralelismo (ii)

2012-2

etodos de Coerˆ

encia para Barramentos (iii)

Espionagem

(snooping)

todas as caches observam

todo

o tr´afego no barramento

etiquetas com duas portas: CPU e barramento

a¸c˜

oes de um controlador de cache s˜ao vis´ıveis pelos demais CCs

❀ difus˜

ao no barramento

O que ocorre nas escritas?

invalidar

opias nas outras caches:

protocolos de invalida¸c˜

ao

atualizar

opias nas outras caches:

protocolos de atualiza¸c˜

ao

P1

P2

P3

Mem

C1

C2

C3

UFPR Bacharelado em Ciˆencia da Computa¸c˜ao

14

etodos de Coerˆ

encia para Barramentos (ii)

rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrr r rrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrr r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrr t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t tttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttt tttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttt t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t t tttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttt tttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttttt r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r r rrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrrr r r r r r r r r r r r r r r r

inv´al

v´alido

reserv

sujo

P-lˆe

Lˆe-blc

Lˆe-blc

Lˆe-inv + Esc-inv

Lˆe-inv

P-esc

P-lˆe

P-esc

Lˆe-inv

P-esc

2 bits de estado

em cada bloco;

controlador

observa

barramento e reage

segundo a ME

linhas cheias:

processador local

linhas tracejadas:

opera¸c˜

oes remotas

(6)

ci212 — paralelismo (ii)

2012-2

Desempenho de Protocolos para Barramentos

Tipos de faltas nas caches:

capacidade (mais significativa)

conflitos

compuls´

orias

coerˆ

encia

(4

o

C)

Faltas por coerˆencia

s˜ao faltas causadas pelo protocolo de coerˆencia

Falso compartilhamento

a unidade de coerˆencia ´e um bloco de cache

blocos grandes (≥32 pals) para amortizar custos de comunica¸c˜ao e etiquetas

pode ocorrer que

um bloco inteiro

seja compartilhado,

mas palavras individuais n˜ao s˜ao compartilhadas

❀ tr´

afego adicional desnecess´ario

ci212 — paralelismo (ii)

2012-2

Protocolo de Invalida¸c˜

ao Simplificado

Caches usam escrita pregui¸cosa

Estados

INV´

ALIDO: conte´

udo do bloco n˜ao pode ser usado

COMPART-ilhado: n˜ao est´a sujo, compartilhado (h´a ≥ 1 c´

opia)

EXCLUSIVO: ´

unica c´

opia suja

a cada transa¸c˜ao no barramento, o controlador de cache:

verifica se bloco est´a na cache

se estiver na cache, efetua mudan¸ca de estado cfe ME (adiante)

UFPR Bacharelado em Ciˆencia da Computa¸c˜ao

17

Protocolo de Atualiza¸c˜

ao

atividade

atividade

cache

cache

mem´oria

no processador

no barramento

C1

C2

ender X

0

P1 lˆe X

falta em X

0

0

P2 lˆe X

falta em X

0

0

0

P1 faz X=1

broadcast de X=1

1

1

1

P2 lˆe X

acerto em X

1

1

1

P1

P2

P3

Mem

C1

C2

C3

H´a mais tr´afego no barramento, se P2 n˜ao usar X novamente.

Referências

Documentos relacionados

LEDO GERSON LESSA DUTO PRINCIPAL MM 1:15 ESCALA DIEDRO TAMANHO DA FOLHA DATA 1º 5 25/04/2016 FOLHA ORIENTADOR UNIDADE TÍTULO BICA REFRIGERADA AUTOR

facilitem a apresentação, tais como, data formatada para apresentação na tela (tipo “ViewHelper”)..

Aplicações no INTERIOR dos edifícios Temperatura do fluído 66 °C a 100 °C Diâmetro exterior máx.. de

Os painéis à base de madeira são biodegradáveis e podem ser reciclados, siga os regulamentos locais para a eliminação de resíduos... TAFIPAN

Processo de manutenção Processo de documentação Processo de gerência de configuração Processo de garantia de qualidade Processo de verificação Processo de validação Processo

A técnica Mapas de Difusão não obteve performance superior para bases de dados artificiais (Curva S e Círculos) considerando a métrica Neighborhood Preservation em relação às

[r]

As vantagens da nefelina em relação ao feldspato potássico são que ela tem melhor e maior quantidade de álcalis, maior teor de alumina, melhor localização da jazida e