• Nenhum resultado encontrado

O uso de redes-em-chip ao invés do barramento para realizar a comunicação entre as tarefas em um MP-SoC se provou uma boa maneira se obter melhores valores de latência. O fato de se ter reuso e escalabilidade também contribuem para essa escolha.

O uso de topologias irregulares para aplicações específicas pode oferecer um ganho em latência, área e potência, por isso as topologias irregulares foram escolhidas para este trabalho. Uma boa exploração no espaço e projeto da rede pode fornecer informações sobre o uso da rede. Essas informações são capazes de ajudar na hora da confecção final do circuito integrado (CI) .

Para se conseguir redes que sejam mais adequadas a aplicações específicas e otimizadas para que atendam às restrições de projeto, é necessário realizar uma exploração do espaço de projeto, verificando o maior número possível de configurações, de forma que se possa encontrar soluções que atendam todas as características esperadas. O grande espaço de projeto dificulta os testes das redes, inviabilizando uma busca exaustiva. Uma solução para percorrer esse espaço de busca se dá através do uso de heurísticas que venham a otimizar esse processo.

Este trabalho fez uma análise no que diz respeito a exploração de espaço do projeto e ao uso de topologias irregulares para se obter redes com melhores valores de latência, área e uma maior taxa de pacotes de tempo real entregues dentro do deadline estipulado. As redes utilizadas aqui são otimizadas através de um algoritmo genético e conta com o uso de roteadores heterogêneos que buscam otimizar a rede de forma que possa-se alcançar as métricas desejadas.

Durante o trabalho foram usadas diferentes aplicações, com diferentes padrões de comunicação e deadlines para os pacotes RT. O algoritmo genético é responsável pela DSE e busca encontrar redes que sejam as mais otimizadas possíveis no que diz respeito a latência média, pacotes RT entregues e quantidade de roteadores. O uso de roteadores heterogêneos também contribui para a otimização da rede, já que um tipo de roteador

Futuramente planeja-se evoluir esse trabalho utilizando redes hibridas que utilizem roteadores ligados por fio e roteadores que se conectam por pequenas antenas ligadas aos roteadores, as chamadas WiNoCs (Wireless Network-on-Chip) . Também planeja- se analisar, além do já proposto nesse trabalho, o consumo de energia para verificar a eficiência de uma rede hibrida e o uso de roteadores heterogêneos.

Referências

ABABEI, C. Efficient congestion-oriented custom network-on-chip topology synthesis. In: IEEE. Reconfigurable Computing and FPGAs (ReConFig), 2010 International Conference on. [S.l.], 2010. p. 352–357.

AGARWAL, A.; ISKANDER, C.; SHANKAR, R. Survey of network on chip (noc) architectures & contributions. Journal of engineering, Computing and Architecture, v. 3, n. 1, p. 21–27, 2009.

AJABSHIR, V. B.; TOSUN, S. Fault-tolerant routing for irregular-topology-based network-on-chips. In: IEEE. Computing and Networking (CANDAR), 2014 Second International Symposium on. [S.l.], 2014. p. 123–129.

ANIRUDH, G. S.; SOUMYA, J. Routing algorithm for application-specific network-on- chip with irregular core sizes. In: IEEE. Nanoelectronic and Information Systems (iNIS), 2017 IEEE International Symposium on. [S.l.], 2017. p. 56–60.

BEN-ITZHAK, Y. et al. Heterogeneous noc router architecture. IEEE Transactions on Parallel and Distributed Systems, IEEE, v. 26, n. 9, p. 2479–2492, 2015.

BENINI, L.; MICHELI, G. D. Networks on chip: a new paradigm for systems on chip design. In: IEEE. Design, Automation and Test in Europe Conference and Exhibition, 2002. Proceedings. [S.l.], 2002. p. 418–419.

BENYAMINA, A. E. H. et al. Mapping real time applications on noc architecture with hybrid multi-objective algorithm. In: META’10 Intenational Conference on Metaheuristics and Nature Inspired Computing. [S.l.: s.n.], 2010.

BEREJUCK, M. D. et al. Rede intra-chip com qualidade de serviços para uso em telecomunicações. Universidade do Vale do Itajaí, 2009.

BJERREGAARD, T.; MAHADEVAN, S. A survey of research and practices of network-on-chip. ACM Computing Surveys (CSUR), ACM, v. 38, n. 1, p. 1, 2006. BLICKLE, T. Theory of evolutionary algorithms and application to system synthesis. [S.l.]: vdf Hochschulverlag AG, 1997.

BOLOTIN, E. et al. Qnoc: Qos architecture and design process for network on chip. Journal of systems architecture, Elsevier, v. 50, n. 2-3, p. 105–128, 2004.

BRUCH, J. V. Mapeamento estático de tarefas de aplicações de tempo real em sistemas baseados em redes-em-chip. 2015.

BUENO, F. Métodos heurísticos: Teoria e implementações. Instituto Federal de Santa Catarina, Araranguá, 2009.

CHOUDHARY, N.; GAUR, M.; LAXMI, V. Irregular noc simulation framework: Irnirgam. In: IEEE. Emerging Trends in Networks and Computer Communications (ETNCC), 2011 International Conference on. [S.l.], 2011. p. 1–5.

CHOUDHARY, N. et al. Conjoined irregular topology and routing table generation for network-on-chip. In: IEEE. India Conference (INDICON), 2009 Annual IEEE. [S.l.], 2009. p. 1–4.

CORRÊA, E. d. F. Redes-em-chip para sistemas embarcados visando a otimização de medidas de qualidade de serviço para aplicações de tempo real. 2007.

COTA, É.; AMORY, A. de M.; LUBASZEWSKI, M. S. Reliability, Availability and Serviceability of Networks-on-chip. [S.l.]: Springer Science & Business Media, 2011. DALLY, W. J.; TOWLES, B. P. Principles and practices of interconnection networks. [S.l.]: Elsevier, 2004.

DAS, R. et al. Design and evaluation of a hierarchical on-chip interconnect for

next-generation cmps. In: IEEE. High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th International Symposium on. [S.l.], 2009. p. 175–186.

DICK, R. P.; RHODES, D. L.; WOLF, W. Tgff: task graphs for free. In: IEEE COMPUTER SOCIETY. Proceedings of the 6th international workshop on Hardware/software codesign. [S.l.], 1998. p. 97–101.

DRIDI, M. et al. Das: an efficient noc router for mixed-criticality real-time systems. In: IEEE. 2017 IEEE 35th International Conference on Computer Design (ICCD). [S.l.], 2017. p. 229–232.

DUATO, J.; YALAMANCHILI, S.; NI, L. M. Interconnection networks: an engineering approach. [S.l.]: Morgan Kaufmann, 2003.

HOLLAND, J. H. Adaptation in natural and artificial systems: an introductory analysis with applications to biology, control, and artificial intelligence. [S.l.]: MIT press, 1992. HSU, H.; LACHENBRUCH, P. A. Paired t test. Wiley StatsRef: Statistics Reference Online, Wiley Online Library, 2014.

ITRS. ITRS. mar. 2018. Mar., 2018. Disponível em: <http://www.itrs2.net/>. Acesso em Março 14, 2018.

JUNIOR, N. A. G. Análise e simulaçao de topologias de redes em chip. 2010.

KASAPAKI, E. et al. Argo: A real-time network-on-chip architecture with an efficient gals implementation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, IEEE, v. 24, n. 2, p. 479–492, 2016.

KHAN, S. et al. An efficient algorithm for mapping real time embedded applications on noc architecture. IEEE Access, IEEE, 2018.

KHAWAJA, S. G. et al. A novel multiprocessor architecture for k-means clustering algorithm based on network-on-chip. In: IEEE. Multi-Topic Conference (INMIC), 2016 19th International. [S.l.], 2016. p. 1–5.

KREUTZ, M. et al. Energy and latency evaluation of noc topologies. In: IEEE. Circuits and Systems, 2005. ISCAS 2005. IEEE International Symposium on. [S.l.], 2005. p. 5866–5869.

KREUTZ, M. et al. Design space exploration comparing homogeneous and heterogeneous network-on-chip architectures. In: ACM. Proceedings of the 18th annual symposium on Integrated circuits and system design. [S.l.], 2005. p. 190–195.

KREUTZ, M. E. Método para a otimização de plataformas arquiteturais para sistemas multiprocessados heterogêneos. 2005.

LAHIRI, K.; RAGHUNATHAN, A.; DEY, S. Design space exploration for optimizing on-chip communication architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, IEEE, v. 23, n. 6, p. 952–961, 2004.

LAI, G.; LIN, X. Floorplan-aware application-specific network-on-chip topology synthesis using genetic algorithm technique. The Journal of Supercomputing, Springer, v. 61, n. 3, p. 418–437, 2012.

LAI, G.; LIN, X.; LAI, S. Ga-based floorplan-aware topology synthesis of application- specific network-on-chip. In: IEEE. Intelligent Computing and Intelligent Systems (ICIS), 2010 IEEE International Conference on. [S.l.], 2010. v. 2, p. 554–558.

LEARY, G. et al. Design of network-on-chip architectures with a genetic algorithm-based technique. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, IEEE, v. 17, n. 5, p. 674–687, 2009.

LEI, T.; KUMAR, S. A two-step genetic algorithm for mapping task graphs to a network on chip architecture. In: IEEE. Digital System Design, 2003. Proceedings. Euromicro Symposium on. [S.l.], 2003. p. 180–187.

LIU, M. Real-Time Communication over Wormhole-Switched On-Chip Networks. Tese (Doutorado) — Malardalen University Press, 2017.

LV, M. et al. Rtnoc: a simulation tool for real-time communication scheduling on networks-on-chips. In: IEEE. Computer Science and Software Engineering, 2008 International Conference on. [S.l.], 2008. v. 4, p. 102–105.

MATOS, D. d. S. M. Interfaces parametrizáveis para aplicações interconectadas por uma rede-em-chip. 2010.

MILFONT, R. et al. Analysis of routing algorithms generation for irregular noc topologies. In: IEEE. Test Symposium (LATS), 2017 18th IEEE Latin American. [S.l.], 2017. p. 1–5.

MURALI, S.; BENINI, L.; MICHELI, G. D. Mapping and physical planning of networks-on-chip architectures with quality-of-service guarantees. In: ACM. Proceedings of the 2005 Asia and South Pacific Design Automation Conference. [S.l.], 2005. p. 27–32. OZTURK, O.; DEMIRBAS, D. Heterogeneous network-on-chip design through

evolutionary computing. International Journal of Electronics, Taylor & Francis, v. 97, n. 10, p. 1139–1161, 2010.

PACHECO, M. A. C. et al. Algoritmos genéticos: princípios e aplicações. ICA: Laboratório de Inteligência Computacional Aplicada. Departamento de Engenharia Elétrica. Pontifícia Universidade Católica do Rio de Janeiro. Fonte desconhecida, p. 28, 1999.

PANDA, P. R. Systemc: a modeling platform supporting multiple design abstractions. In: ACM. Proceedings of the 14th international symposium on Systems synthesis. [S.l.], 2001. p. 75–80.

PASRICHA, S.; DUTT, N. On-chip communication architectures: system on chip interconnect. [S.l.]: Morgan Kaufmann, 2010.

QI, J. et al. A new hierarchical genetic algorithm for low-power network on chip design. In: IEEE. Intelligent Control and Information Processing (ICICIP), 2010 International Conference on. [S.l.], 2010. p. 159–162.

REINBRECHT, C. R. W. Desenvolvimento e avaliação de redes-em-chip hierárquicas e reconfiguráveis para mpsocs. 2012.

RIJPKEMA, E. et al. Trade-offs in the design of a router with both guaranteed and best-effort services for networks on chip. IEE Proceedings-Computers and Digital Techniques, IET, v. 150, n. 5, p. 294–302, 2003.

ROMANOV, A. Y.; ROMANOVA, I. Use of irregular topologies for the synthesis of networks-on-chip. In: IEEE. Electronics and Nanotechnology (ELNANO), 2015 IEEE 35th International Conference on. [S.l.], 2015. p. 445–449.

SAYUTI, M. N. S. M.; INDRUSIAK, L. S. Real-time low-power task mapping in networks-on-chip. In: IEEE. VLSI (ISVLSI), 2013 IEEE Computer Society Annual Symposium on. [S.l.], 2013. p. 14–19.

SHAH, P.; KANNIGANTI, A.; SOUMYA, J. Fault-tolerant application specific network-on-chip design. In: IEEE. Embedded Computing and System Design (ISED), 2017 7th International Symposium on. [S.l.], 2017. p. 1–5.

SHI, Z. Real-time communication services for networks on chip. Tese (Doutorado) — University of York, 2009.

SILVA, J. I. S. da; SILVA, I. S. Malha de interconexão para sistemas em chip único. SOUZA, S. A. d. Algoritmos genéticos aplicados à proteção e estimação de harmônicos em sistemas elétricos de potência. Tese (Doutorado) — Universidade de São Paulo, 2008. SYSTEMC. SYSTEMC. mar. 2018. OMar., 2018. Disponível em: <http://www. accellera.org/downloads/standards/systemc>. Acesso em Março 16, 2018.

TEDESCO, L. Uma proposta para geração de tráfego e avaliação de desempenho para nocs. Pontificia Universidade Catolica Do Rio Grande Do Sul: Porto Alegre, p. 125, 2005.

TOSUN, S. et al. Fault-tolerant irregular topology design method for network-on-chips. In: IEEE. Digital System Design (DSD), 2014 17th Euromicro Conference on. [S.l.], 2014. p. 631–634.

TOSUN, S.; AR, Y.; OZDEMIR, S. Application-specific topology generation algorithms for network-on-chip design. IET computers & digital techniques, IET, v. 6, n. 5, p. 318–333, 2012.

VELLANKI, P.; BANERJEE, N.; CHATHA, K. S. Quality-of-service and error control techniques for network-on-chip architectures. In: ACM. Proceedings of the 14th ACM Great Lakes symposium on VLSI. [S.l.], 2004. p. 45–50.

WETTIN, P. et al. Design space exploration for wireless nocs incorporating irregular network routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, IEEE, v. 33, n. 11, p. 1732–1745, 2014.

ZEFERINO, C. A. Redes-em-chip: arquiteturas e modelos para avaliação de área e desempenho. 2003.

ZEFERINO, C. A. et al. Avaliação de desempenho de rede-em-chip modelada em systemc. In: Proceedings of the 27rd Congress of Brazilian Computer Society-WPerformance. [S.l.: s.n.], 2007. p. 559–578.

ZEFERINO, C. A.; SANTO, F. G.; SUSIN, A. A. Paris: a parameterizable interconnect switch for networks-on-chip. In: ACM. Proceedings of the 17th symposium on Integrated circuits and system design. [S.l.], 2004. p. 204–209.

ZEFERINO, C. A.; SUSIN, A. A. Socin: a parametric and scalable network-on-chip. In: IEEE. Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings. 16th Symposium on. [S.l.], 2003. p. 169–174.

Aqui serão exibidas as figuras com as topologias mais otimizadas referentes as aplica- ções contendo 10 e 15 tarefas. As topologias serão mostradas para cada grupo de testes que foram realizados.

A figura 42 mostra a topologia mais otimizada encontrada para a primeira aplicação com 10 tarefas e um deadline longo. A figura 43 mostra a topologia para a segunda apli- cação envolvendo o mesmo caso. A figura 44 mostra a topologia para a terceira aplicação e a figura 45 a topologia mais otimizada para a quarta aplicação com 10 tarefas e um deadline longo.

A figura 46 mostra como ficou a topologia mais otimizada para a primeira aplicação envolvendo 10 tarefas e um deadline médio de entrega dos pacotes de tempo real. A figura 47 apresenta a topologia otimizada para a segunda aplicação que contém 10 tarefas e utiliza um deadline médio na simulação. A figura 48 exibe a topologia relativa a terceira aplicação no mesmo cenário e a figura 49 mostra a topologia para a quarta aplicação.

A figura 50 é relativa a topologia mais otimizada da primeira aplicação contendo 10 tarefas em um cenário onde a entrega dos pacotes envolve um curto deadline. A figura 51 mostra como seria a topologia otimizada para a segunda aplicação de 10 tarefas e um curto prazo de deadline. A figura 52 exibe a melhor topologia para a terceira aplicação do mesmo cenário. A figura 53 representa a topologia mais otimizada para a quarta aplicação com 10 tarefas e um curto prazo de entrega dos pacotes de tempo real.

A figura 54 representa a topologia mais otimizada para a primeira aplicação contendo 15 tarefas e um longo deadline para os pacotes RT. A figura 55 apresenta a melhor configuração de topologia envolvendo a segunda aplicação de 15 tarefas e um deadline longo. A figura 38 mostra a melhor topologia para a terceira aplicação com 56 elementos de processamento e um longo deadline. A figura 57 exibe a topologia mais otimizada para a quarta aplicação nesse mesmo cenário.

A figura 58 apresenta a topologia mais otimizada para a primeira aplicação de 15 tarefas com um médio deadline de entrega dos pacotes RT. A figura 59 mostra a melhor topologia para a segunda aplicação com 15 tarefas, em um cenário com um médio deadline. A figura 60 exibe a topologia mais otimizada para a terceira aplicação com 15 elementos de processamento e um deadline médio para os pacotes de tempo real. A figura 61 mostra a topologia otimizada para a quarta aplicação de 15 tarefas e um médio deadline.

A figura 62 mostra a topologia mais otimizada para a primeira aplicação envolvendo 15 tarefas e um prazo curto de deadline. A figura 63 exibe a melhor topologia para a segunda aplicação que utiliza 15 tarefas e tem um curto deadline para entrega dos pacotes RT. A figura 64 apresenta a topologia mais otimizada para a terceira aplicação com 15 elementos de processamento e um curto prazo de deadline. A figura 65 mostra a topologia otimizada para a quarta aplicação com 15 elementos de processamento e um período curto de deadline para entrega dos pacotes de tempo real.

Figura 43: Segunda Aplicação Otimizada - 10 Tarefas - Deadline Longo

Figura 45: Quarta Aplicação Otimizada - 10 Tarefas - Deadline Longo

Figura 47: Segunda Aplicação Otimizada - 10 Tarefas - Deadline Médio

Figura 49: Quarta Aplicação Otimizada - 10 Tarefas - Deadline Médio

Figura 51: Segunda Aplicação Otimizada - 10 Tarefas - Deadline Curto

Figura 53: Quarta Aplicação Otimizada - 10 Tarefas - Deadline Curto

Figura 55: Segunda Aplicação Otimizada - 15 Tarefas - Deadline Longo

Figura 57: Quarta Aplicação Otimizada - 15 Tarefas - Deadline Longo

Figura 59: Segunda Aplicação Otimizada - 15 Tarefas - Deadline Médio

Figura 61: Quarta Aplicação Otimizada - 15 Tarefas - Deadline Médio

Figura 63: Segunda Aplicação Otimizada - 15 Tarefas - Deadline Curto

Documentos relacionados