• Nenhum resultado encontrado

Até o presente momento fabricamos capacitores CMOS com dois diferentes tipos de dielétricos (SiO2 e SiOxNy) e três diferentes tipos de eletrodos (Poli-Si n+, NiSi e Al).

Pretende-se dar continuidade ao trabalho utilizando esses eletrodos na elaboração de capacitores MOS, transistores MOS, planar e 3D, buscando otimização dos processos e verificação dos limites mínimos de espessuras.

REFERÊNCIAS BIBLIOGRÁFICAS

AJA INTERNATIONAL, INC. What is sputtering? Disponível em: <http://www.ajaint.com/whatis.htm>. Acesso em: 15 jul. 2018.

AUTH, C.; ALIYARUKUNJU, A.; ASORO, M.; BERGSTROM, D.; BHAGWAT, V.; BIRDSALL, J.; BISNIK, N.; BUEHLER, M.; CHIKARMANE, V.; DING, G.; FU, Q.; GOMEZ, H.; HAN, W.; HANKEN, D.; HARAN, M.; HATTENDORF, M.; HEUSSNER, R.; HIRAMATSU, H.; HO, B.; JALOVIAR, S.; JIN, I.; JOSHI, S.; KIRBY, S.; KOSARAJU, S.; KOTHARI, H.; LEATHERMAN, G.; LEE, K.; LEIB, J.; MADHAVAN, A.; MARLA, K.; MEYER, H.; MULE, T.; PARKER, C.; PARTHASARATHY, S.; PELTO, C.; PIPES, L.; POST, I.; PRINCE, M.; RAHMAN, A.; RAJAMANI, S.; SAHA, A.; SANTOS, J. D.; SHARMA, M.; SHARMA, V.; SHIN, J.; SINHA, P.; SMITH, P.; SPRINKLE, M.; AMOUR, A. S.; STAUS, C.; SURI, R.; TOWNER, D.; TRIPATHI, A.; TURA, A.; WARD, C.; YEOH, A. A 10nm High Performance and Low-Power CMOS Technology Featuring 3rd

Generation FinFET Transistors, Self-Aligned Quad Patterning, Contact over Active Gate and Cobalt Local Interconnects. In IEEE International Electron Devices Meeting (IEDM17), pg.673-676, 2017.

CHAU, R.; DOCZY, M.; DOYLE, B.; DATTA, S.; DEWEY, G.; KAVALIEROS, J.; JIN, B.; METZ, M.; MAJUMDAR, A.; Radosavljevic, M. Solid-State and Integrated Circuits

Technology, 2004. Proceedings. 7th International Conference on, Vol. 1 (2004) pp. 26-30.

CHAU R. et al. Benchmarking Nanotechnology for High Performance and Low-Power Logic Transistor Applications. 2005. IEEE T. Nanotech., Vol. 4, n° 2. 2005.

CHENG, Y. H.; TAY, B. K.; LAU, S. P.; KUPFER, H.; RICHTER, F. Journal of Applied Physics 92, 2002.

CHOI, C.; AHN, J.; CHOI, R. Suppressed Thermally Induced Flatband Voltage Instabilities with Binary Noble Metal Gated Metal–Oxide–Semiconductor Capacitors. Japanese Journal of Applied Physics 51, 2012.

CHOU, W. J.; YU, G.P.; HUANG, J. H. Surface and Coatings Technology 140, 206, 2001.

CIOLDIN, F. H.; LIMA, L. P. B.; DOI, I.; DINIZ, J. A.; GODOY FILHO, J.; ZAMBOTTI, E. A. Investi-gation of Thermal Stability of Titanium Nitride Using a RTA Process. ECS Transactions, 49. The Electrochemical Society, 2012.

CNI. Sputtering Theory. KOREA. Disponível em: <http://www.core-int.co.kr/en/m33.php>. Acesso em: 05 jul. 2018.

CRYSTEC TECHNOLOGY TRADING GMBH. Low Pressure Chemical Vapor Deposition - Technology and Equipment. Disponível em: <https://www.crystec.com/klllpcvde.htm>. Acesso em: 18 jul. 2018a.

CRYSTEC TECHNOLOGY TRADING GMBH. Plasma Enhanced Chemical Vapor Deposition Technology and Equipment. Disponível em: <https://www.crystec.com/tridepe.htm>. Acesso em: 18 jul. 2018b.

DION, C.A. D.; TAVARES, J.R. Photo-Initiated Chemical Vapour Deposition as a Scalable Particle Functionalization Technology (A Practical Review). Powder Technology, v. 239, p. 484, 2013.

ELSTNER, F.; EHRLICH, A.; GIEGENGACK, H.; KUPFER, H.; RICHTER, F. Structure and properties of titanium nitride thin films deposited at low temperatures using direct current magnetron sputtering. Journal of Vacuum Science & Technology A, v. 12, p. 476- 486, 1994.

FILLOT, F.; MORELA, T.; MINORET, S.; MATKO, I.; MAÎTREJEAN, S.; GUILLAUMOT, B.; CHENEVIER, B.; BILLON, T. Investigations of titanium nitride as metal gate material, elaborated by metal organic atomic layer deposition using TDMAT and NH3. Microelectronic Engineering, v. 82, p. 248–253, 2005.

GARCIA, A. S. Formação e caracterização de camadas de TiN para eletrodos metálicos de porta de capacitores MOS. 2014. 68 f. Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação, Campinas, SP.

GEORGE, S. M. Atomic Layer Deposition: An Overview. Chem. p. 111–131, 2010.

GROVE, A. S. Physics and technology of semiconductor devices. Wiley, 1967.

HENKEL, C.; ABERMANN, S.; BETHGE, O.; KLANG, P.; BERTAGNOLLI, E. Proc. 10th

International Conference of Ult. Integ. Of Sil. ULIS, p. 197-200, 2009.

HEO, S. C.; CHOI, C. Plasma atomic layer deposited TiN metal gate for three dimensional device applications: Deposition temperature, capping metal and post annealing. Microelec-tronic Engineering 94, 2012.

INTEL. 22nm Announcement Presentation. 2011. Disponível em: <http://download.intel.com/newsroom/kits/22nm/pdfs/22nm-

Announcement_Presentation.pdf>. Acesso em: 15 jul. 2018.

ITRS. International Technology for Roadmap Semiconductors – Executive Summary. San Jose, CA: Semiconductor Industry Association, 2009.

JOHNSON, R. W.; HULTQVIST, A.; BENT, S. F. A brief review of atomic layer deposition: from fundamentals to applications. Materials Today, v. 17, n. 5, p. 236-246, jun. 2014. KERBER, A; CARTIER, E. A. Reliability Challenges for CMOS Technology Qualifications With Hafnium OxideTitanium Nitride Gate Stacks. IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, [S.l.], v. 9, n. 2, p. 147- 162, jun. 2009.

KESAPRAGADA, S.; WANG, R.; LIU, D.; LIU, G.; XIE, Z.; GE, Z.; YANG, H.; LEI, Y.; LU, X.; TANG, X.; LEI, J.; ALLEN, M.; GANDIKOTA, S.; MORAES, K.; HUNG, S.; YOSHIDA, N.; CHANG, C. High-k / Metal Gate Stacks in Gate First and Replacement Gate Schemes. IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC). Applied Materials, San Francisco, p 256-259, jul. 2010.

KNOOPS, H. C. M.; BRAEKEN, E. M. J.; DE PEUTER, K.; POTTS, S. E.; HAUKKA, S.; PORE, V.; KESSELS, W. M. M. Atomic Layer Deposition of Silicon Nitride from Bis(tert- butylamino)silane and N2 Plasma. ACS Applied Materials & Interfaces. p 19857–19862,

2015.

KUHLMANN, A. V.; DESHPANDE, V.; CAMENZIND, L. C.; ZUMBÜHL, D. M.; FUHRER, A. Ambipolar quantum dots in undoped silicon fin field-effect transistors. Mesoscale and Nanoscale Physics (cond-mat.mes-hall), Cornell University Library, arXiv:1807.04121v1, 2018.

KUHN, K. J. CMOS Transistor Scaling Past 32nm and Implications on Variation. IEEE/SEMI Advanced Semiconductor Manufacturing Conference (ASMC). p 241-246, 2010.

LANGEREIS, E.; KNOOPS, H. C. M.; MACKUS, A. J. M.; ROOZEBOOM, F.; VAN DE SANDEN, M. C. M.; KESSELS, W. M. M. Synthesis and characterization of low-resistivity TaNx films by remote plasma atomic layer deposition. Journal of Applied Physics. 102 (8):

083517, 2007.

LAU, K. K. S.; CAULFIELD, J. A.; GLEASON, K. K. Structure and Morphology of Fluorocarbon Films Grown by Hot Filament Chemical Vapor Deposition. Chemistry of Materials, v. 12 (10), p. 3032–3037, 2000.

LEE, S. H.; CHOI, R.; CHOI, C. Effects of composition and thickness of TiN metal gate on the equivalent oxide thickness and flat-band voltage in metal oxide semiconductor devices. Microelectronic Engineering, 109, p 160-162, 2013.

LEONHARDT, Alessandra. FinFET prototype fabrication using alternative methods = Fabricação de protótipos de FinFETs usando métodos alternativos. 2016. 122 p. Dissertação (Mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação, Campinas, 2016.

LEONHARDT, A.; SANTOS, M. V. P. DOS; DINIZ, J. A.; MANERA, L. T. Ga+ focused ion beam lithography as a viable alternative for multiple fin field effect transistor prototyping. Journal of Vacuum Science & Technology B 34, 06KA03, 2016.

LIMA, L. P. B.; DEKKERS, H. F. W.; LISONI, J. G.; DINIZ, J. A.; VAN ELSHOCHT, S.; DE GENDT, S. Journal of Applied Physics 115, 074504 (2014).

LIMA, L. P. B. Desenvolvimento de processos de eletrodos de porta (TaN e TiN) para dispositivos MOS. Dissertação (Mestrado) – Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação, Campinas, 2011.

LIMA, L. P. B.; DINIZ, J. A.; RADTKE, C.; DOS SANTOS, M. V. P.; DOI, I.; GODOY FO, J. Journal of Vacuum Science & Technology B 31, 052202, 2013.

LIMA, L. P. B. METAL GATE WORK FUNCTION ENGINEERING FOR FUTURE CMOS TECHNOLOGY NODES. 2015. 172 p. Tese (Doutorado) – Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação, Campinas e Universidade Católica de Leuven, Leuven, 2015.

LIU, Y.; KIJIMA, S.; SUGIMATA, E.; MASAHARA, M.; ENDO, K.; MATSUKAWA, T.; ISHII, K.; SAKAMOTO, K.; SEKIGAWA, T.; YAMAUCHI, H.; TAKANASHI, Y.; SUZUKI, E. IEEE Trans-actions on Nanotechnology 5, 723, 2006.

LUJAN, G.; SCHRAM, T.; PANTISANO, L.; HOOKER, J.; KUBICEK, S.; ROHR, E.; SCHUHMACHER, J.; KILPELA, O.; SPREY, H.; De GENDT, S.; De MEYER, K. in Solid- State Device Research Conference. Proceeding of the 32nd European, p. 583-586, 2002.

MACK, CHRIS A. Fifty Years of Moore’s Law. IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, [S.l.], v. 24, n. 2, p. 202-207, maio. 2011.

MANCHANDA, L.; GURVITCH, M. Yttrium Oxide/Silicon Dioxide: A New Dielectric Structure for VLSI/ULSI Circuits. IEEE ELECTRON DEVICE LETTERS, [S.l.], v. 9, n. 4, p. 180-182, abr. 1988.

OXFORD INSTRUMENTS. Atomic Layer Deposition (ALD). Disponível em: <http://www.oxford-instruments.com/products/etching-deposition-and-growth/plasma-etch- deposition/atomic-layer-deposition>. Acesso em: 10 jul. 2018.

PARK, Jong-Hee; SUDARSHAN, T.S.; Chemical Vapor Deposition. ASM International, 2001.

PATSALAS, P.; LOGOTHETIDIS, S. Optical, electronic, and transport properties of nanocrystalline titanium nitride thin films. Journal of Applied Physics, v. 90, n. 9, p. 4725- 4734, 2001.

POLISHCHUK, I.; RANADE, P.; KING, T.-J.; HU, C. IEEE Electron Device Letters 22, 444, 2001.

PONON, N. K.; APPLEBY, D. J. R.; ARAC, E.; KING, P. J.; GANTI, S.; KWA, K. S. K.; O'NEILL, A. Effect of deposition conditions and post deposition anneal on reactively sputtered titanium nitride thin films. Thin Solid Films, v. 578, p. 31-37, 2015.

POTTS, S.E.; KESSELS, W.M.M. Energy-enhanced atomic layer deposition for more process and precursor versatility. Coordination Chemistry Reviews, p 3254 – 3270, 2013.

RAHTU, A. Atomic Layer Deposition of High Permittivity Oxides: Film Growth and In Situ Studies. 2002. 69 p. Tese (Doutorado) – University of Helsinki, Helsinki, 2002.

RAJPUT, R.; GUPTA, R.; GUPTA, R. K.; KHOSLA, A.; VAID, R. Fabrication and characterization of n-Si/SiON/metal gate structurefor future MOS technology. Microsystem Technologies, p. 1-7, 2018.

ROBERTSON, J.; WALLACE, R. M. Materials Science and Engineering: R: Reports 88, 1, 2015.

SAHA, Naresh C.; TOMPKINS, Hadand G. Titanium nitride oxidation chemistry: An x-ray photoelectron spectroscopy study. Motorola, Inc., Semiconductor Analytical Laboratory, 2200 West Broadway Road, Mesa, Arizona 85202, p. 3072-3079, jun. 1992.

SAMATERIALS. What is sputtering? 2015. Stanford Advanced Materials. Trusted Material

Supplier and Business Partner. Disponível em:

SANTOS, M. V. P. dos. Desenvolvimento de processos de obtenção nanofios de silício para dispositivos MOS 3D utilizando feixe de íons focalizados e litografia por feixe de elétrons. 2013. 92 p. Dissertação (Mestrado) – Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação, Campinas, São Paulo, 2013.

SCHROPP, R.E.I.; STANNOWSKI, B.; BROCKHOFF, A.M.; VAN VEENENDAAL, P.A.T.T.; RATH, J.K. Hot wire CVD of heterogeneous and polycrystalline silicon semiconducting thin films for application in thin film transistors and solar cells. Materials Physics and Mechanics, p. 73–82, 2000.

SIMMLER, W. Silicon Compounds, Inorganic. Ullmann's Encyclopedia of Industrial Chemistry, Weinheim: Wiley-VCH, 2005.

SINGANAMALLA, S.; YU, H. Y.; DAELE, V.; KUBICEK, S.; DE MEYER, K. Effective Work-Function Modulation by Aluminum-Ion Implantation for Metal-Gate Technology (Poly-Si/TiN/SiO2). IEEE Electron Device Letters, v. 28, n. 12, dez. 2007.

SPENGLER, W.; KAISER, R. Solid State Communications 18, 881, 1976.

SZE, S. M.; NG, K. K. Physics of semiconductor devices. John wiley & sons, 1969.

SWART, J. W. “Evolução da Microeletrônica, Regras de Escalamento e limites”, apresentação (aula), 2013. Disponível em <www.ccs.unicamp.br>.

SWART, J. W. “Junções em Semicondutores”. Apresentação (aula). Materiais Elétricos – Cap.10. Disponível em < https://www.ccs.unicamp.br/cursos/ee941/download/cap04.pdf>. Acesso em: 20 jul. 2018.

TAVARES, J.; SWANSON, E.J.; COULOMBE, S. Plasma Synthesis of Coated Metal Nanoparticles with Surface Properties Tailored for Dispersion. Plasma Processes and Polymers, v. 5 (8), p. 759–769, 2008.

TSIVIDIS, Y. Operation and modeling of the MOS transistor. Blacklick, Ohio, USA, 1987.

VAZIRI, M. R. R.; HAJIESMAEILBAIGI, F.; MALEKI, M. H. Microscopic description of the thermalization process during pulsed laser deposition of aluminium in the presence of argon background gas. Journal of Physics D: Applied Physics, v. 43, n. 42, 2010.

VAZIRI, M. R. R.; HAJIESMAEILBAIGI, F.; MALEKI, M. H. Monte Carlo simulation of the subsurface growth mode during pulsed laser deposition. Journal of Applied Physics, v. 110, 2011.

WANG, X.-R.; JIANG, Y.-L.; XIE, Q.; DETAVERNIER, C.; RU, G.-P.; QU, X.-P.; LI, B.-Z. Microelectronic Engineering 88, 573 (2011), the 2010 International workshop on Materials for Advanced Metallization - MAM 2010.

WESTLINDER, J.; SCHRAM, T.; PANTISANO, L.; CARTIER, E.; KERBER, A.; LUJAN, G. S.; OLSSON, J.; GROESENEKEN, G. On the thermal stability of atomic layer deposited TiN as gate electrode in MOS devices. IEEE Electron Device Letters, v. 24, n. 9, 2003.

WOLF, H.; STREITER, R.; FRIEDEMANN, M.; BELSKY, P.; BAKAEVA, O.; LETZ, T.; GESSNER, T. Microelect. Eng. 2009.

Documentos relacionados