• Nenhum resultado encontrado

Inicialmente, implementar uma biblioteca de células com particionamento a nível de transistores totalmente customizada em um PDK industrial como, por exemplo, o de 28nm FDSOI. Deve-se realizar a modificação de arquivos do PDK, permitindo a criação de novas camadas no Virtuoso R obedecendo as regras de projeto, a implementação dos

valores parasitas das novas camadas e a adição das novas regras de projeto no programa de verificação e extração de leiaute. Depois, simular circuitos de teste e comparar os resul- tados obtidos em performance e potência com os da biblioteca Mono3D e com circuitos 2D criados no mesmo PDK de 28nm de forma customizada.

Pode-se apresentar, ainda dentro das células a serem realizadas no PDK de 28nm, a realização de células 2D e dividi-las em camadas de circuitos monolíticos 3D, compa- rando a performance entre o particionamento a nível de transistor e de portas lógicas.

Finalmente, na área de EDA existem diversos desafios que podem ser abordados. Como grande parte das ferramentas são adaptadas das 2D e, geralmente, as células não são feitas de forma customizada, a geração automática de células monolíticas 3D utilizando o método de otimização presente no trabalho é uma possibilidade. Tal trabalho deve imple- mentar o posicionamento de transistores em diferentes camadas e seu dimensionamento e o roteamento dos mesmos levando em conta as vias verticais. Também, pode-se analisar o roteamento de circuitos de alimentação das camadas do circuito monolítico 3D para uma biblioteca de células.

A geração de células complexas monolíticas 3D também é um tema interessante, pois pode ser comparada não só com células 2D, como também como células monolíticas 3D particionadas a nível de transistor ou de porta lógica.

O maior obstáculo dos problemas relacionados a EDA são a falta de dados abertos sobre características intrínsecas a fabricação dos circuitos monolíticos 3D. Assim, pro- blemas como dissipação térmica, eletromigração, entre outros, só podem ser levados em conta por fabricantes e não são disponíveis para o público geral, dificultando a altera- ção de parâmetros dos transistores relativos a fabricação. Apesar destes desafios, pode-se analisar efeitos de variabilidade e radiação em circuitos monolíticos 3D, gerando a possi- bilidade de novos tipos de leiaute para mitigar estes efeitos.

REFERÊNCIAS

AYRES, A. et al. Variance analysis in 3-d integration: A statistically unified model with distance correlations. IEEE Transactions on Electron Devices, IEEE, v. 66, n. 1, p. 633–640, jan 2019. ISSN 1557-9646. DOI: 10.1109/TED.2018.2879680.

BATUDE, P. et al. 3-d sequential integration: A key enabling technology for

heterogeneous co-integration of new function with cmos. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, IEEE, v. 2, n. 4, p. 714–722, dec 2012. ISSN 2156-3365. DOI: 10.1109/JETCAS.2012.2223593.

BATUDE, P. et al. 3dvlsi with coolcube process: An alternative path to scaling. 2015 Symposium on VLSI Technology (VLSI Technology). Kyoto, Japan: IEEE, 2015. p. T48–T49. ISSN 2158-9682. DOI: 10.1109/VLSIT.2015.7223698.

BATUDE, P. et al. Advances in 3d cmos sequential integration. 2009 IEEE International Electron Devices Meeting (IEDM). Baltimore, USA: IEEE, 2009. p. 1–4. ISSN 0163-1918. DOI: 10.1109/IEDM.2009.5424352.

BATUDE, P. et al. Geoi and soi 3d monolithic cell integrations for high density applications. 2009 Symposium on VLSI Technology. Honolulu, USA: IEEE, 2009. p. 166–167. ISSN 2158-9682.

BRUNET, L. et al. First demonstration of a cmos over cmos 3d vlsi coolcubeTM integration on 300mm wafers. 2016 IEEE Symposium on VLSI Technology. Honolulu, USA: IEEE, 2016. p. 1–2. ISSN 2158-9682. DOI: 10.1109/VLSIT.2016.7573428. CHANG, K. et al. Design automation and testing of monolithic 3d ics: Opportunities, challenges, and solutions: (invited paper). 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). Irvine, USA: IEEE, 2017. p. 805–810. ISSN 1558-2434. DOI: 10.1109/ICCAD.2017.8203860.

FRANZON, P. D.; MARINISSEN, E. J.; BAKIR, M. S. Handbook of 3D Integration, Volume 4: Design, Test, and Thermal Management. Germany: Wiley-VCH, 2019. ISBN 978-3-527-69706-9.

GARIDIS, K. et al. Characterization of bonding surface and electrical insulation properties of inter layer dielectrics for 3d monolithic integration. EUROSOI-ULIS 2015: 2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon. Bologna, Italy: IEEE, 2015. p. 165–168. DOI: 10.1109/ULIS.2015.7063799.

GARROU, P.; BOWER, C.; RAMM, P. Handbook of 3D Integration: Technology and Applications of 3D Integrated Circuits. Germany: Wiley-VCH Verlag GmbH and Co. KGaA, 2008. DOI: 10.1002/9783527623051. ISBN 9783527320349.

HSUEH, F. et al. Tsv-free finfet-based monolithic 3d+-ic with computing-in-memory sram cell for intelligent iot devices. 2017 IEEE International Electron Devices Meeting (IEDM). San Francisco, USA: IEEE, 2017. p. 12.6.1–12.6.4. ISSN 2156-017X. DOI: 10.1109/IEDM.2017.8268380.

IRDS-IEEE. International Roadmap for Devices and Systems: More Moore. 2018. <https://irds.ieee.org/images/files/pdf/2018/2018IRDS_MM.pdf>.

ISCAS85. c17 Benchmark. 2007. <http://pld.ttu.ee/~maksim/benchmarks/iscas85/ bench/c17.bench>. Acesso em: jul 2019.

ISCAS89. s27 Benchmark. 2007. <http://www.pld.ttu.ee/~maksim/benchmarks/iscas89/ bench/s27.bench>. Acesso em: jul 2019.

ITRS-IEEE. International Technology Roadmap for Semiconductors: Interconnect. 2001. <https://www.semiconductors.org/wp-content/uploads/2018/08/2001Interconnect. pdf>.

ITRS-IEEE. International Technology Roadmap for Semiconductors: More-than- Moore. 2009. <http://www.itrs2.net/uploads/4/9/7/7/49775221/irc-itrs-mtm-v2_3.pdf>. JIANG, J. et al. Ultimate monolithic-3d integration with 2d materials: Rationale, prospects, and challenges. IEEE Journal of the Electron Devices Society, IEEE, v. 7, p. 878–887, jun 2019. ISSN 2168-6734. DOI: 10.1109/JEDS.2019.2925150.

KONERU, A.; KANNAN, S.; CHAKRABARTY, K. A design-for-test solution for monolithic 3d integrated circuits. 2017 IEEE International Conference on Computer Design (ICCD). Boston, USA: IEEE, 2017. p. 685–688. ISSN 1063-6404. DOI: 10.1109/ICCD.2017.119.

LEE, Y.; LIMBRICK, D.; LIM, S. K. Power benefit study for ultra-high density transistor-level monolithic 3d ics. 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC). Austin, USA: IEEE, 2013. p. 1–10. ISSN 0738-100X. E-ISBN: 978-1-4503-2071-9.

LIU, C.; LIM, S. K. A design tradeoff study with monolithic 3d integration. 13th International Symposium on Quality Electronic Design (ISQED). Santa Clara, USA: IEEE, 2012. p. 529–536. ISSN 1948-3295. DOI: 10.1109/ISQED.2012.6187545.

MIKETIC, I.; SALMAN, E. Power and data integrity in monolithic 3d integrated simon core. 2019 IEEE International Symposium on Circuits and Systems (ISCAS). Sap- poro, Japan: IEEE, 2019. p. 1–5. ISSN 2158-1525. DOI: 10.1109/ISCAS.2019.8702438. NANOCAS. NanoCAS Lab at Stony Brook. 2020. <https://nanocas.ece.stonybrook. edu/mono3d/>.

NCSU. FreePDK45. 2018. <https://www.eda.ncsu.edu/wiki/FreePDK45:Contents>. Acesso em: dez 2018.

PANTH, S. et al. Tier degradation of monolithic 3-d ics: A power performance study at different technology nodes. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, IEEE, v. 36, n. 8, p. 1265–1273, aug 2017. ISSN 0278-0070. DOI: 10.1109/TCAD.2017.2681064.

PANTH, S. A. Physical Design Methodologies for Monolithic 3D ICS. Thesis (PhD) — Georgia Institute of Technology, may 2015.

PENTAPATI, S.; SHIM, D. E.; LIM, S. K. Logic monolithic 3d ics: Ppa benefits and eda tools necessary ACM Great Lakes Symposium on VLSI. Tysons Corners, USA: [s.n.], 2019. DOI: 10.1145/2966986.2967043.

SACHID, A. et al. High-gain monolithic 3d cmos inverter using layered semiconductors. Applied Physics Letters, AIP Publishing, v. 111, p. 222101, 11 2017. DOI:

10.1063/1.5004669.

SAMAL, S. K. et al. Tier partitioning strategy to mitigate beol degradation and cost issues in monolithic 3d ics. 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). Austin, USA: IEEE, 2016. p. 1–7. ISSN 1558-2434. DOI: 10.1145/2966986.2967080.

SAMAL, S. K. et al. Monolithic 3d ic vs. tsv-based 3d ic in 14nm finfet technology. 2016 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S). Burlingame, USA: IEEE, 2016. p. 1–2. DOI: 10.1109/S3S.2016.7804405.

SAMAL, S. K. et al. Fast and accurate thermal modeling and optimization for monolithic 3d ics. 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC). San Francisco, USA: IEEE, 2014. p. 1–6. ISSN 0738-100X. DOI: 10.1145/2593069.2593140. SAMAL, S. K. et al. Full chip impact study of power delivery network designs in monolithic 3d ics. 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). San Jose, USA: IEEE, 2014. p. 565–572. ISSN 1558-2434. DOI: 10.1109/ICCAD.2014.7001406.

SHI, J. et al. On the design of ultra-high density 14nm finfet based transistor-level monolithic 3d ics. 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). Pittsburgh, USA: IEEE, 2016. p. 449–454. ISSN 2159-3477. DOI: 10.1109/ISVLSI.2016.94.

SINHA, D. et al. Generation and use of statistical timing macro-models considering slew and load variability. 2016 IEEE/ACM International Conference on Computer- Aided Design (ICCAD). Austin, USA: IEEE, 2016. p. 1–6. ISSN 1558-2434. DOI: 10.1145/2966986.2967043.

SOUSA, A. A. de. 3D Monolithic Integration: Performance, Power and Area Evaluation for 14nm and Beyond. Thesis (PhD) — Université Grenoble Alpes, 2017. TAN, C. S.; GUTMANN, R. J.; REIF, L. R. Wafer Level 3-D ICs Process Technology. USA: Springer, 2008.

VIVET, P. et al. Monolithic 3d: an alternative to advanced cmos scaling, technology perspectives and associated design methodology challenges. 2018 25th IEEE

International Conference on Electronics, Circuits and Systems (ICECS). Bordeaux, France: IEEE, 2018. p. 157–160. ISSN null. DOI: 10.1109/ICECS.2018.8617955. WAHBY, W.; DEMBLA, A.; BAKIR, M. Evaluation of 3dics and fabrication of mono- lithic interlayer vias. 2013 IEEE International 3D Systems Integration Conference (3DIC). San Francisco, USA: IEEE, 2013. p. 1–6. DOI: 10.1109/3DIC.2013.6702378.

WONG, S. et al. Monolithic 3d integrated circuits 2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA). Hsinchu, Taiwan: IEEE, 2007. p. 1–4. ISSN 1524-766X. DOI: 10.1109/VTSA.2007.378923.

YAN, C. et al. Open source cell library mono3d to develop large-scale monolithic 3d integrated circuits. 2017 IEEE International Symposium on Circuits and Systems (ISCAS). Baltimore, USA: IEEE, 2017. p. 1–4. ISSN 2379-447X. DOI: 10.1109/ISCAS.2017.8050945.

YEAP, G. Smart mobile socs driving the semiconductor industry: Technology trend, challenges and opportunities 2013 IEEE International Electron Devices Meeting. [S.l.: s.n.], 2013. p. 1.3.1–1.3.8.

YU, K. et al. Evaluation of monolithic 3-d logic circuits and 6t srams with ingaas-n/ge-p ultra-thin-body mosfets. IEEE Journal of the Electron Devices Society, IEEE, v. 4, n. 2, p. 76–82, mar 2016. ISSN 2168-6734. DOI: 10.1109/JEDS.2016.2524567.

APÊNDICE A — INSTALAÇÃO DA BIBLIOTECA MONO3D

Este apêndice foi elaborado como um tutorial para instalação da biblioteca Mono3D no Virtuoso R, já que não há suporte para circuitos monolíticos 3D nativo.

Após obter a biblioteca no site do laboratório NanoCAS (NANOCAS, 2020) e executar o Virtuoso R

, deve-se configurar os arquivos de tecnologia (.tf), de regras de ca- madas de desenho do leiaute (.drf), e os arquivos de regras de projeto para simulação e extração do leiaute (.rul). O fluxograma da Figura A.1 detalha uma ordem para configu- ração do Virtuoso R

para uso com a Mono3D.

Figura A.1: Fluxograma para configuração da Mono3D

Download e Execução do Virtuoso R Techfile Regras de Desenho Reconfigurar .drf Leiaute Visível? DRC, LVS e PEX Correção do leiaute Não Sim Fonte: O Autor

Para iniciar a configuração do Virtuoso R, abrir a janela exposta na Figura A.2c

seguindo os passos (a) e (b). Deve-se encontrar o arquivo com extensão .tf na pasta da Mono3D. Uma biblioteca será criada automaticamente para referenciar este arquivo da tecnologia.

Após a configuração do .tf, cria-se uma nova biblioteca no Virtuoso R

que servirá como referência para as células da Mono3D. Ajusta-se o caminho desta biblioteca recém criada em Edit/Library Path no gerenciador de bibliotecas do Virtuoso R apontando o

Figura A.2: Tipos de particionamento de circuitos monolíticos 3D

(a) Janela Inicial (b) Janela de gerenciamento de tecnologia

(c) Seleção do arquivo

Fonte: O Autor

De volta a janela de gerenciamento de tecnologia (A.2b) selecionar a opção Attach. A vinculação do arquivo de tecnologia com a biblioteca de células é feita selecionando a biblioteca criada para armazenar as células com a criada como referência para o arquivo .tf.

Usando a Figura A.2a como referência, selecionar Display Resource Manager e, na nova janela, Merge. Nesta janela, devem ser adicionados os arquivos de desenho das camadas do leiaute. Como houveram mudanças no arquivo do PDK, deve-se integrar o arquivo do FreePDK45 com o arquivo da biblioteca Mono3D.

Estes passos configuram a biblioteca para uso do esquemático ao leiaute. Todas camadas devem ser visíveis no ambiente de leiaute do Virtuoso R, incluindo as customi-

zadas da Mono3D. Como o FreePDK45 utiliza o Mentor Calibre para análise do circuito e extração de parasitas, este deve ser configurado para ser utilizado.

Como a biblioteca Mono3D possui suas próprias características, novas regras de DRC, LVS e PEX foram criadas para o pós-leiaute. Assim, a configuração dos três ele- mentos deve ser realizada. Os três devem ter seu caminho de arquivo apontados para a pasta que contém os arquivos de regras (.rul) da biblioteca Mono3D. Também, deve-se modificar a linha que contém o caminho dos arquivos dentro dos arquivos de regras uti- lizando um editor de texto e apontando estes arquivos para os devidos locais. No LVS, a opção Export from schematic viewer deve ser marcada pelo usuário.

No leiaute de cada célula, quando há execução de DRC, ocorre falha e o erro de que não existe um um componente interno da biblioteca que interliga as camadas do circuito no leiaute. Este componente com problema não foi encontrada dentro dos arquivos da Mono3D. A falta deste componente impossibilita o funcionamento das células e cabe ao usuário da biblioteca Mono3D corrigir esta falha. Este erro está ligado aos MIVs e seus níveis de metal intermediário. O usuário deve, então, substituir os elementos falhos do leiaute de acordo com o circuito.

Para substituir as camadas com erros de um leiaute, inicialmente detecta-se qual o tipo de camada a ser trocada na célula que está sendo analisada. Os nomes dos elementos que estão sem referência e apresentam erro de verificação no leiaute são listados nos items a e b.

(a) poly_btm_M5_btm (b) M1_btm_M5_btm

Estes erros fazem referência à interligação entre as camadas inferior e superior do circuito monolítico 3D da biblioteca de células Mono3D. O método de correção dos erros de verificação causados por estes elementos substitui o erro a por uma pilha de vias e metal iniciando na camada poly_btm e terminando na camada M 5_btm, interligando a porta do transistor da camada inferior com o metal5 da camada inferior. Já o erro b deve ser substituído por uma pilha de vias e metal iniciando na camada M 1_btm e terminando na camada M 5_btm, interligando os níveis de metal1 e metal5 da camada inferior.

Em ambos os casos deve-se ainda acrescentar um MIV em conjunto com a pilha de vias e metais para cada elemento trocado, caso não exista um MIV previamente no local do erro no leiaute.

Os elementos parasitas da biblioteca Mono3D foram adaptados do FreePDK45 original. Após o incremento de todos os arquivos com as informações sobre as novas camadas do circuito monolítico 3D, o PDK está adaptado a criação de células monolíticas.

Tal configuração permite a criação de células customizadas pelo projetista. A adaptação de células 2D para 3D deve ser feita manualmente, caso necessário. A biblioteca de células Mono3D cobre apenas o particionamento a nível de transistor.

APÊNDICE B — PUBLICAÇÕES

Ás publicações apresentadas em ordem cronológica neste apêndice ocorreram du- rante o período decorrido no mestrado.

J. C. Zanelli, R. Reis. “Automatic Cell Generation by Transistor P&R”, 2018 IEEE 55th Design Automation Conferece (DAC), San Francisco, California, 2018. Pôster, como parte do programa Richard Newton.

J. C. Zanelli, C. Metzler and R. Reis, "Gate Sizing for Power-Delay Optimization at Transistor-level Monolithic 3D-Integrated Circuits", 2020 IEEE 11th Latin American Symposium on Circuits & Systems (LASCAS), San Jose, Costa Rica, 2020, pp. 1-4, doi: 10.1109/LASCAS45839.2020.9069042.

J. C. Zanelli, C. Metzler and R. Reis, “Power-Delay Optimized Logic Cell Li- brary for Monolithic 3D-Integrated Circuits”, 2020 XXXV Simpósio Sul de Microeletrônica (SIM 2020), Evento Online, Abril 2020.

Documentos relacionados