• Nenhum resultado encontrado

As topologias irregulares oferecem a oportunidade de construir uma rede-em-chip para execução de uma aplicação específica visando à melhoria do desempenho da rede em termos de latência média, consumo energético, área dos circuitos da rede, dentre outros. A exploração de espaço de projeto (DSE) permite avaliar ainda na fase de projeto as características de uma arquitetura com o ajuste de parâmetros do sistema a fim de atender aos requisitos de uma aplicação.

Este trabalho realizou uma DSE para buscar uma combinação de canais cuja ativação resulte numa topologia tolerante a falhas capaz de entregar pacotes de tempo real dentro do prazo por um caminho alternativo caso algum canal apresente falha. Além disso, foram implementados um algoritmo de mapeamento de tarefas com o objetivo de garantir a entrega dos pacotes sem violação de deadline, uma estratégia de roteamento de pacotes para as topologias geradas e um injetor de falhas em topologias de NoC.

A validação da proposta foi realizada em simulações de um conjunto de aplicações reais e outro de aplicações sintéticas. Os algoritmos lograram êxito em reduzir a latência média das topologias tolerantes a falhas geradas pela DSE (executando sem injeção de falhas), no entanto, a rede experimenta um aumento gradual da latência média à medida que a taxa de falhas aumenta. Na comparação com a mesh-2D regular executando em duas configurações distintas, as topologias deste trabalho apresentaram uma latência média inferior. Quando injetadas diferentes taxas de falhas, as topologias obtêm latência média inferior à mesh-2D configurada com o roteamento XY e mapeamento XY quase na totalidade dos testes. No outro cenário, roteamento XY e o mapeamento da heurística, as redes geradas pela DSE apresentam uma maior latência média ora a partir de 10% ora a partir de 15% de falhas injetadas.

Quanto à entrega de pacotes de tempo real dentro do prazo, os algoritmos tiveram sucesso em eliminar totalmente as violações de deadline para aplicações 1-4, enquanto na aplicação 5 ainda houve situações onde as violações não foram eliminadas totalmente.

Quando injetadas falhas nas topologias da DSE, os algoritmos não tiveram sucesso em eliminar as violações nos casos em que os pacotes são enviados pelo caminho alternativo. Nesses casos, os pacotes sofrem um aumento de latência devido a tomarem um caminho maior até o seu destino, além de enfrentarem problemas de contenção nos roteadores intermediários, já que há menos rotas possíveis devido à remoção de alguns canais. No comparativo com a mesh-2D, as topologias geradas pela DSE atenderam melhor o requi- sito de tempo real (quando executada sem falhas). No caso onde a mesh-2D executa com o roteamento XY e as tarefas mapeadas sequencialmente, a rede com até 20% de falhas apresentou menos violações de deadline. No cenário onde a mesh executa com o rotea- mento XY e o mapeamento XY, as topologias da DSE apresentaram um maior número de violações de deadline quando injetada uma taxa maior que 10% de falhas.

Nos trabalhos futuros, pretende-se aperfeiçoar a estratégia de mapeamento de tarefas e de roteamento visando garantir a entrega dos pacotes de tempo real dentro do prazo mesmo por um caminho alternativo. Além disso, também se faz necessário aperfeiçoar o módulo de injeção de falhas para torná-lo capaz de variar a combinação de canais que apresentam falhas e recalcular as tabelas de roteamento diversas vezes durante uma mesma simulação.

Referências

AVELAR, C.; PENNA, P. H.; FREITAS, H. Algoritmo k-means para mapeamento estático de processos em redes-em-chip. In: . [S.l.: s.n.], 2014. p. 12.

AVELINO, A. A. F. Implementação de modelos de arquiteturas de redes-em-chip em nível de transações para a ferramenta redscarf. XXIX CONGRESSO DE INICAÇÃO CIENTÍFICA E TECNOLÓGICA DA UFRN, 2018.

BARBOSA, S.; SILVA, B. Interação Humano-Computador. 1st. ed. São Paulo/SP: Elsevier Brasil, 2010. ISBN 9788535211207.

BARROS, R. C. et al. A survey of evolutionary algorithms for decision-tree induction. IEEE Transactions on Systems, Man, and Cybernetics, Part C (Applications and Reviews), v. 42, n. 3, p. 291–312, 2012.

BENINI, L.; MICHELI, G. D. Networks on chips: a new soc paradigm. Computer, v. 35, n. 1, p. 70–78, Jan 2002. ISSN 1558-0814.

BEZERRA, G. A. Generation of application specific fault tolerant irregular NoC topologies using tabu search. Dissertação (Monografia de Graduação) — Universidade Federal do Rio Grande do Norte, 2019.

BHARDWAJ, K.; JENA, R. K. Energy and bandwidth aware mapping of ips onto regular noc architectures using multi-objective genetic algorithms. In: 2009 International Symposium on System-on-Chip. [S.l.: s.n.], 2009. p. 027–031.

BLOCH, J. E⇥ective Java: Best Practices für die Java-Plattform. [S.l.]: dpunkt. verlag, 2018.

BOKHARI, S. On the allocation problem. IEEE Transactions on Computers, v. 30, n. 3, p. 207–214, 1981.

BOLOTIN, E. et al. Cost considerations in network on chip. Integra- tion, v. 38, n. 1, p. 19 – 42, 2004. ISSN 0167-9260. Disponível em: <http://www.sciencedirect.com/science/article/pii/S0167926004000343>.

CARVALHO, E. L. d. S. Mapeamento dinâmico de tarefas em MPSoCs heterogêneos baseados em NoC. Tese (Doutorado) — Pontifícia Universidade Católica do Rio Grande do Sul, 2009.

CHEN, M.; MISHRA, P.; KALITA, D. Automatic rtl test generation from systemc tlm specifications. ACM Transactions on Embedded Computing Systems (TECS), ACM New York, NY, USA, v. 11, n. 2, p. 1–25, 2012.

CHOUDHARY, N. et al. Genetic algorithm based topology generation for application specific network-on-chip. In: Proceedings of 2010 IEEE International Symposium on Circuits and Systems. [S.l.: s.n.], 2010. p. 3156–3159. ISSN 2158-1525.

CONSTANTINESCU, C. Trends and challenges in vlsi circuit reliability. IEEE Micro, v. 23, n. 4, p. 14–19, July 2003. ISSN 1937-4143.

CORMEN, T. H. et al. Introduction to algorithms. [S.l.]: MIT press, 2009.

COTA, É.; AMORY, A. de M.; LUBASZEWSKI, M. S. Noc basics. In: Reliability, Availability and Serviceability of Networks-on-Chip. [S.l.]: Springer, 2012. p. 11–24. DALLY, W. J.; TOWLES, B. Route packets, not wires: on-chip interconnection networks. In: Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232). [S.l.: s.n.], 2001. p. 684–689.

DALLY, W. J.; TOWLES, B. P. Principles and Practices of Interconnection Networks. San Francisco, CA, USA: Morgan Kaufmann Publishers Inc., 2004. ISBN 9780080497808. DEHYADGARI, M. et al. Evaluation of pseudo adaptive xy routing using an object oriented model for noc. In: 2005 International Conference on Microelectronics. [S.l.: s.n.], 2005. p. 5 pp.–.

DICK, R. P.; RHODES, D. L.; WOLF, W. Tg⇤: task graphs for free. In: Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE’98). [S.l.: s.n.], 1998. p. 97–101.

DUATO, J.; YALAMANCHILI, S.; NI, L. Interconnection networks: An engineering approach, m. kaufmann pub. Inc., USA, 2002.

FILHO, S.; PONTES, J.; LEITHARDT, V. Multiprocessor system on a chip. p. 3, 05 2007.

FLOYD, R. Algorithm 97: shortest path. Communications of the Association for Computing Machinery, v. 5, 1962.

FOCHI, V. M. Técnicas de tolerância a falhas aplicadas a redes intra-chip. Dissertação (Mestrado) — Pontifícia Universidade Católica do Rio Grande do Sul, 2015.

GAJSKI, D. D.; RAMACHANDRAN, L. Introduction to high-level synthesis. IEEE Design Test of Computers, v. 11, n. 4, p. 44–54, 1994.

GHOSAL, P.; DAS, T. Improved extended xy on-chip routing in diametrical 2d mesh noc. International Journal of VLSI Design and Communication Systems, v. 3, p. 191–200, 10 2012.

GRECU, C. et al. Essential fault-tolerance metrics for noc infrastructures. In: 13th IEEE International On-Line Testing Symposium (IOLTS 2007). [S.l.: s.n.], 2007. p. 37–42. GULZARI, U. et al. A low latency and low power indirect topology for on-chip communication. PLOS ONE, v. 14, p. e0222759, 10 2019.

HESHAM, S. et al. Survey on real-time networks-on-chip. IEEE Transactions on Parallel and Distributed Systems, v. 28, n. 5, p. 1500–1517, May 2017. ISSN 2161-9883.

HOLLAND, J. Adaptation in natural and artificial systems: an introductory analysis with application to biology. Control and artificial intelligence, University of Michigan Press, 1975.

HORSTMANN, L. P.; FRöHLICH, A. A. A fault injection framework for real-time multicore embedded systems. In: 2020 Brazilian Symposium on Computing Systems Engineering (SBESC). [S.l.: s.n.], 2020. p. 1–8.

HU, J.; MARCULESCU, R. Energy-aware mapping for tile-based noc architectures under performance constraints. In: Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003. [S.l.: s.n.], 2003. p. 233–239.

IEEE, .-. Ieee guide for the use of ieee standard dictionary of measures to produce reliable software. IEEE Std 982.2-1988, p. 1–153, 1989.

INDRUSIAK, L.; DZIURZANSKI, P.; SINGH, A. Dynamic Resource Allocation in Embedded, High-Performance and Cloud Computing. [S.l.: s.n.], 2016. 73-92 p. JERRAYA, A.; WOLF, W. Multiprocessor systems-on-chips. [S.l.]: Elsevier, 2004.

KAVYASHREE, A.; NEELAGAR, M. Design of index based round robin arbiter for noc router. IJSTE - International Journal of Science Technology Engineering, v. 2, n. 12, 2016. ISSN 2349-784X.

KOPETZ, H. Real-time systems - design principles for distributed embedded applications. In: Real-Time Systems Series. [S.l.: s.n.], 1997.

KOUPAEI, F. K.; KHADEMZADEH, A.; JANIDARMIAN, M. Fault-tolerant application-specific network-on-chip. In: . [S.l.: s.n.], 2011.

KUMAR, A.; MANJUNATH, D.; KURI, J. Communication networking: an analytical approach. [S.l.]: Elsevier, 2004.

KUMAR, A.; TYAGI, S.; JHA, C. K. Performance analysis of network-on-chip topologies. Journal of Information and Optimization Sciences, Taylor Francis, v. 38, n. 6, p. 989–997, 2017.

LAPRIE, J.-c.; RANDELL, B.; AVIZIENIS, A. Dependability and its threats: A taxonomy. International Federation for Information Processing Digital Library; Building the Information Society;, v. 156, 01 2004.

LEE, I.-G.; LEE, J.; PARK, S.-C. Adaptive routing scheme for noc communication architecture. In: The 7th International Conference on Advanced Communication Technology, 2005, ICACT 2005. [S.l.: s.n.], 2005. v. 2, p. 1180–1184.

LUKE, S. Essentials of metaheuristics. [S.l.]: Lulu Raleigh, 2013.

LYONS, R. E.; VANDERKULK, W. The use of triple-modular redundancy to improve computer reliability. IBM Journal of Research and Development, v. 6, n. 2, p. 200–209, 1962.

MACÊDO, R. et al. Tratando a previsibilidade em sistemas de tempo-real distribuidos: Especificacao, linguagens, middleware e mecanismos basicos. XXII Simpósio Brasileiro de Redes de Computadores. Gramado, RS, Brasil, 2004.

MACQUEEN, J. et al. Some methods for classification and analysis of multivariate observations. In: OAKLAND, CA, USA. Proceedings of the fifth Berkeley symposium on mathematical statistics and probability. [S.l.], 1967. v. 1, n. 14, p. 281–297.

MARCULESCU, R. et al. Outstanding research problems in noc design: system, microarchitecture, and circuit perspectives. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, IEEE, v. 28, n. 1, p. 3–21, 2008.

MARTIN, G.; SMITH, G. High-level synthesis: Past, present, and future. IEEE Design Test of Computers, v. 26, n. 4, p. 18–25, 2009.

MELLO, A. et al. Virtual channels in networks on chip: Implementation and evaluation on hermes noc. In: 2005 18th Symposium on Integrated Circuits and Systems Design. [S.l.: s.n.], 2005. p. 178–183.

MELLO, A. V. d. et al. Qualidade de serviço em redes intra-chip: implementação e avaliação sobre a rede hermes. Pontifícia Universidade Católica do Rio Grande do Sul, 2007.

MESIDIS, P.; INDRUSIAK, L. S. Genetic mapping of hard real-time applications onto noc-based mpsocs — a first approach. In: 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC). [S.l.: s.n.], 2011. p. 1–6.

MESQUITA, J. W. Exploração de espaço de projeto para geração de Redes em Chip de topologias irregulares otimizadas: a Rede UTNoC. Dissertação (Mestrado) — Universidade Federal do Rio Grande do Norte, 2016.

MIRJALILI, S. Genetic algorithm. In: Evolutionary algorithms and neural networks. [S.l.]: Springer, 2019. p. 43–55.

OLIVEIRA, F. C. d. M. B.; OLIVEIRA, F. A. d. M. B. Interação Humano-Computador. 2nd. ed. Fortaleza/CE: EdUECE, 2015. ISBN 9788578265656.

OLIVEIRA, P. A. C. et al. A greedy heuristic for process mapping on networks-on-chip. In: 2011 Simpasio em Sistemas Computacionais. [S.l.: s.n.], 2011. p. 4–4.

OLIVEIRA, S. da S.; KREUTZ, M. E. Exploração de espaço e projeto para topologias irregulares em aplicações de tempo real. Brazilian Journal of Development, v. 6, n. 12, 2020.

OLIVEIRA, S. S. Otimização de topologia irregular para aplicações tempo real e não tempo real em MP-SoCs baseadas em redes-em-chip. Dissertação (Mestrado) — Universidade Federal do Rio Grande do Norte, 2018.

ORUKLU, E. et al. System-on-chip design using high-level synthesis tools. Circuits and Systems, v. 03, p. 8, 01 2012.

PELLEGRINI, F. Scotch and libScotch 5.1 User’s Guide, LaBRI, Université Bordeaux I. [S.l.]: August, 2008.

PISCITELLI, R.; PIMENTEL, A. D. Design space pruning through hybrid analysis in system-level design space exploration. In: 2012 Design, Automation Test in Europe Conference Exhibition (DATE). [S.l.: s.n.], 2012. p. 781–786. ISSN 1530-1591.

RADETZKI, M. et al. Methods for fault tolerance in networks-on-chip. ACM Computing Surveys (CSUR), v. 46, 10 2013.

ROMBERG, W. Vereinfachte numerische integration. Norske Vid. Selsk. Forh., Trondheim, v. 28, p. 30–36, 1955.

SALEH, R. et al. System-on-chip: Reuse and integration. Proceedings of the IEEE, v. 94, n. 6, p. 1050–1069, 2006.

SHAH, P.; KANNIGANTI, A.; SOUMYA, J. Fault-tolerant application specific

network-on-chip design. In: 2017 7th International Symposium on Embedded Computing and System Design (ISED). [S.l.: s.n.], 2017. p. 1–5.

SHI, Z. Real-time communication services for networks on chip. Tese (Doutorado) — University of York, 2009.

SINGH, A. K. et al. A survey and comparative study of hard and soft real-time dynamic resource allocation strategies for multi-/many-core systems. Association for Computing Machinery, New York, NY, USA, v. 50, n. 2, 2017. ISSN 0360-0300.

SRINIVASAN, K.; CHATHA, K. S.; KONJEVOD, G. Linear-programming-based techniques for synthesis of network-on-chip architectures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v. 14, n. 4, p. 407–420, 2006.

STERPONE, L.; SABENA, D.; REORDA, M. S. A new fault injection approach for testing network-on-chips. In: 2012 20th Euromicro International Conference on Parallel, Distributed and Network-based Processing. [S.l.: s.n.], 2012. p. 530–535.

TINDELL, K.; BURNS, A.; WELLINGS, A. Allocating hard real time tasks + (an np-hard problem made easy). In: In Real Time Systems Journal. [S.l.: s.n.], 1992. p. 145–165.

TOSUN, S. et al. Fault-tolerant irregular topology design method for network-on-chips. In: 2014 17th Euromicro Conference on Digital System Design. [S.l.: s.n.], 2014. p. 631–634.

TOSUN, S. et al. Fault-tolerant topology generation method for application-specific network-on-chips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 34, n. 9, p. 1495–1508, Sep. 2015. ISSN 1937-4151.

TOSUN, S.; AR, Y.; OZDEMIR, S. Application-specific topology generation algorithms for network-on-chip design. IET Computers Digital Techniques, v. 6, n. 5, p. 318–333, Sep. 2012. ISSN 1751-861X.

VANGAL, S. et al. An 80-tile sub-100-w teraflops processor in 65-nm cmos. Solid-State Circuits, IEEE Journal of, v. 43, p. 29 – 41, 02 2008.

WANG, S.-C. Genetic algorithm. In: Interdisciplinary Computing in Java Programming. [S.l.]: Springer, 2003. p. 101–116.

WEBER, T. S. Tolerância a falhas: conceitos e exemplos. Apostila do Programa de Pós-Graduação–Instituto de Informática-UFRGS. Porto Alegre, p. 24, 2003.

WOLF, W. The future of multiprocessor systems-on-chips. In: Proceedings. 41st Design Automation Conference, 2004. [S.l.: s.n.], 2004. p. 681–685.

WOLF, W.; JERRAYA, A. A.; MARTIN, G. Multiprocessor system-on-chip (mpsoc) technology. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, v. 27, n. 10, p. 1701–1713, 2008.

YANG, P. et al. A fault tolerance noc topology and adaptive routing algorithm. In: 2016 13th International Conference on Embedded Software and Systems (ICESS). [S.l.: s.n.], 2016. p. 42–47.

ZEFERINO, C. Arquiteturas e modelos para avaliação de área e desempenho. Tese (Doutorado) — Universidade Federal do Rio Grande do Sul. Instituto de Informática. Programa de Pós-Graduação em Computação, 2003.

ZEFERINO, C. A.; KREUTZ, M. E.; SUSIN, A. A. Rasoc: a router soft-core for networks-on-chip. In: Proceedings Design, Automation and Test in Europe Conference and Exhibition. [S.l.: s.n.], 2004. v. 3, p. 198–203 Vol.3. ISSN 1530-1591.

ZEFERINO, C. A.; SUSIN, A. A. Socin: a parametric and scalable network-on-chip. In: 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings. [S.l.: s.n.], 2003. p. 169–174.

ZHAO, H. et al. Exploring heterogeneous noc design space. In: 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). [S.l.: s.n.], 2011. p. 787–793.

APÊNDICE A -- Resultados completos da

Documentos relacionados