• Nenhum resultado encontrado

SISTEMAS DIGITAIS. MEFT / MEAer de Julho de 2017, 08:00

N/A
N/A
Protected

Academic year: 2021

Share "SISTEMAS DIGITAIS. MEFT / MEAer de Julho de 2017, 08:00"

Copied!
15
0
0

Texto

(1)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

A

EXAME

1. Considere o seguinte circuito lógico. a) Obtenha, através da inspeção do

circuito e posterior simplificação algébrica (sem recurso a tabela de verdade), a função booleana F(A, B, C) na forma canónica disjuntiva (soma de produtos). Justifique com todos os passos intermédios... .[2,0 val.]

𝐴 𝐵 (𝐶) + 𝐴 𝐵 (𝐶 ⊙ 𝐵) + 𝐴 𝐵 (𝐶) + 𝐴 𝐵 (𝐶) = 𝐴 𝐵 𝐶 + 𝐴 𝐵 𝐶 + 𝐴 𝐵 𝐶 + 𝐴 𝐵 𝐶

Antes de iniciar a prova, tenha em atenção o seguinte:

i. A prova contempla 8 perguntas, distribuídas por 14 páginas, e tem a duração de 2h30m. ii. Existem 4 variantes distintas da prova: A, B, C e D.

iii. A prova é sem consulta. Sobre a secretária apenas deve encontrar-se a sua identificação (cartão de estudante).

iv. Identifique todas as folhas do enunciado com o seu nome e número mecanográfico. Recorde que logo após terminar a prova todas as páginas serão desagrafadas e separadas. Folhas não identificadas não serão cotadas!!!

v. Resolva a prova no próprio enunciado. Para cada questão é fornecido um espaço próprio, dentro do qual deverá responder. A sua dimensão está ajustada ao tamanho expectável da resposta.

vi. Excecionalmente, e caso realmente necessite, pode usar o espaço extra disponível das páginas em branco, colocadas ao longo da prova. Nesse caso, deve indicar junto ao enunciado da pergunta que a resposta à mesma se encontra na página que utilizou.

vii. Justifique adequadamente todas as respostas.

viii. Responda à prova com calma. Se não sabe responder a uma pergunta, passe à seguinte e volte a ela no fim. A B C F MUX 0 1 0 3 0 G 1

{

2 3 X/Y 1 2 0 1 2 3

(2)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

Aluno: Nº Pág. 2

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A

EXAME

b) Utilizando, obrigatoriamente, multiplexers 4:1 com saída negada (ver figura) e um número mínimo de portas lógicas NOR e/ou NAND de 2 entradas, assim como portas NOT, projete e implemente a saída S de um somador completo. [1,5 val.] MUX 0 1 0 3 0 G 1

{

2 3

(3)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

A

EXAME

2. Considere a função lógica 𝑓(𝐴, 𝐵, 𝐶, 𝐷, 𝐸) incompletamente especificada, definida da seguinte forma (a variável A é a de maior peso e a variável E é a de menor peso):

𝑓(𝐴, 𝐵, 𝐶, 𝐷, 𝐸) = ∏ 𝑀(5,9,10,12,13,15,17,22,23,25,28,30)

×

∏ 𝑀𝑑(0,1,6,7,8,11,18,20,21) a) Complete o mapa de Karnaugh representado abaixo por forma a representar esta função.

[1,0 val.] 00 01 11 10 000 001 011 010 110 111 101 100

X

X

0

0

0

1

1

0

0

0

0

1

1

0

X

X

X

1

0

1

1

1

0

1

0

X

0

X

1

1

X

X

CDE AB

b) Identifique a expressão algébrica na forma mínima conjuntiva (produto de somas) do seguinte mapa de Karnaugh. Justifique, marcando os implicados primos correspondentes à função no mapa. ... [1,5 val.] 3. 00 01 11 10 000 001 011 010 110 111 101 100

0

X

0

0

1

0

0

1

0

0

0

0

1

1

0

0

1

1

0

0

X

1

0

X

0

1

1

1

1

1

0

0

CDE AB 𝐹 = (𝐵 + 𝐶 + 𝐷)(𝐴 + 𝐵 + 𝐶)(𝐴 + 𝐵 + 𝐶)(𝐴 + 𝐶 + 𝐷)(𝐴 + 𝐶 + 𝐷)(𝐵 + 𝐶 + 𝐸)(𝐴 + 𝐵 + 𝐷 + 𝐸)

c) Identifique os implicados primos essenciais e não essenciais na expressão encontrada na alínea b). Justifique. ... [1,5 val.]

(4)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

Aluno: Nº Pág. 4

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A

EXAME

(5)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

A

EXAME

3. Pretende-se projetar uma unidade aritmética que recebe duas entradas de dados A(7:0) e B(7:0), uma entrada de seleção I(1:0), tendo como saída S(7:0). O circuito deve ser capaz de implementar as seguintes operações em formato de complemento para 2:

I1 I0 Operação

0 0 𝐵 − 1

0 1 𝐵 − 0.5 × 𝐴

1 0 2.5 × 𝐵

1 1 1 − 4 × 𝐴

Complete o logigrama abaixo por forma a obter a funcionalidade desejada, utilizando o mínimo de lógica adicional. Despreze as situações de overflow. ... [2,5 val.] Nota: Não se esqueça que pode (e deve) usar barramentos sempre que possível para simplificar o desenho do circuito. Para especificar quais os sinais que compõem um barramento, utilize a notação [x, y, z, w], em que x corresponde ao bit mais significativo.

I0 I1 I0 I1 I0 I1 I0 I1 [b3,b2,b1,b0] [b3,b2,b1,b0] [b2,b1,b0,0] [0,0,0,1] [1,1,1,1] [~a4,~a3,~a2,~a1] [b4,b3,b2,b1] [~a1,~a0,1,1] [b7,b6,b5,b4] [b7,b6,b5,b4] [b6,b5,b4,b3] 0 1 2 3 0 1 2 3 01 2 3 CI CO

}

}

P Q 0 1 2 3 0 1 2 3 01 2 3 CI CO

}

}

P Q MUX 0 1 0 1 2 3

}

G 0 3 4 4 4 4 4 MUX 0 1 0 1 2 3

}

G 0 3 4 4 4 4 4 MUX 0 1 0 1 2 3

}

G 0 3 4 4 4 4 4 MUX 0 1 0 1 2 3

}

G 0 3 4 4 4 4 4 [0,0,0,0] [1,1,1,1] [~a7,~a7,~a6,~a5] [b7,b7,b6,b5] [~a5,~a4,~a3,~a2] I0 U(3:0) V(3:0) X(3:0) Z(3:0) U(3:0) V(3:0) X(3:0) Z(3:0) Cout1 Cout1 S(3:0) S(7:4)

(6)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

Aluno: Nº Pág. 6

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A

EXAME

(7)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

A

EXAME

4. Considere o circuito da figura e os tempos de propagação indicados na tabela:

1T C1 CLK Q Q1 T1 1J C1 1K CLK Q Q Q2 J2 K2 1D C1 CLK Q Q0 D0 A B Q1 ATIVA_RELÉ_H NAND tSETUP tHOLD tPHL tPLH NOR XOR FF D FF JK 2ns 1ns 3ns 3ns 3ns 2ns 2ns 2ns 1ns 2ns 2ns 0,5ns 1ns 0,5ns 8ns X/Y 5ns FF T X1 X2 X3 2ns 1ns 2ns 0,5ns X/Y 1 2 0 1 2 3 EN

a) Complete as seguintes linhas da tabela de transição de estados. ... [1,5 val.] 𝑄2𝑛 𝑄 1𝑛 𝑄0𝑛 A B X1 X2 X3 𝐽2 𝐾2 𝑇1 𝐷0 𝑄2𝑛+1 𝑄1𝑛+1 𝑄0𝑛+1 ATIVA_RELÉ 1 0 0 0 0 1 1 1 1 1 0 1 0 0 1 0 1 0 0 0 1 1 1 1 1 1 0 1 0 0 1 0 1 0 0 1 0 1 1 1 1 1 0 1 0 0 1 0 1 0 0 1 1 1 1 1 1 1 0 1 0 0 1 0 0 1 0 0 0 0 1 1 1 0 1 0 1 0 0 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 1 0 0 1 0 1 0 1 1 1 1 0 1 1 1 0 1 0 0 1 0 1 1 1 1 0 1 1 1 1 1 0 1 0

b) Determine justificadamente o período mínimo de relógio do circuito, por forma a garantir o correto funcionamento do mesmo. ... [0,5 val.] Tmin = Tsu(FF JK) + TpLH(XOR) +

(8)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

Aluno: Nº Pág. 8

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A

EXAME

(9)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

A

EXAME

5. Considere o seguinte diagrama de estados de um circuito sequencial síncrono, caracterizado por uma entrada (X) e uma saída (Y), em que a codificação dos estados corresponde aos números dentro dos círculos: 10 5 13 2 0/0 -/0 1/1 1/1 0/1 1/0 0/1 INIT

Implemente esta máquina de estados utilizando o registo de deslocamento fornecido e lógica adicional que ache necessária. Deve incluir uma entrada adicional de inicialização, INIT. Para resolver o exercício, basta completar a tabela e as equações algébricas dos sinais E0…E7 e Y em função das entradas X e INIT, e saídas do contador. Sugestão: comece por preencher a tabela, associando a cada transição do diagrama de estados os modos de operação do registo. ... [2,0 val.] E0 = __Q1 ~Q3 + Q3 Q0 ~X + INIT ___________________________ E1 = __(Q3 + Q0 + ~X)(~Q3 + ~Q0 + ~X) + INIT__________________ E2 = __Q3 + ~Q3 ~X_______________________________________ E3 = __Q1 ~INIT__________________________________________ E4 = __~INIT_____________________________________________ E5 = __INIT______________________________________________ E6 = __~INIT_____________________________________________ E7 = ___1_______________________________________________ Y = ___Q3 XNOR (Q2 ~X)___________________________________ EP 𝑄3𝑛 𝑄2𝑛 𝑄1𝑛 𝑄0𝑛 X 𝑄3𝑛+1 𝑄2𝑛+1 𝑄1𝑛+1 𝑄0𝑛+1 E0 E1 E2 E3 E4 E5 E6 E7 Y 2 0 0 1 0 0 1 1 0 1 1 1 X 1 1 0 1 X 1 2 0 0 1 0 1 0 1 0 1 1 0 X x x x X 1 1 5 0 1 0 1 0 1 0 1 0 0 1 1 x x x X X 0 5 0 1 0 1 1 0 0 1 0 0 1 0 x x x X x 1 10 1 0 1 0 0 1 1 0 1 0 1 1 x x x X X 0 10 1 0 1 0 1 1 1 0 1 0 1 1 x x x X X 0 13 1 1 0 1 0 0 1 0 1 1 1 X 0 1 0 1 X 1 13 1 1 0 1 1 1 1 0 1 0 0 X x x X x X 0 SRG 4 Q0 3, 4D Q1 Q2 Q3 3, 4D 3, 4D 3, 4D 2, 4D 1, 4D C4 0 1 M _ 0 3 1 /2 E0 E1 E3 E4 E5 E2 CLK E6 E7

(10)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

Aluno: Nº Pág. 10

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A

EXAME

(11)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

A

EXAME

6. Projecte um sistema de memória constituído por 64k endereços e com palavras de 16 bits, de acordo com o mapa de memória ilustrado na figura.

Considere que para a concretização deste projecto dispõe dos seguintes dispositivos de memória:

 EPROM 8k x 16 bits

 RAM 16k x 8 bits

Pode utilizar os componentes que julgar mais convenientes para realizar o circuito de descodificação. ... [1,5 val.] NOTA: Para garantir a legibilidade do circuito, represente as diferentes linhas de dados e de endereços através de barramentos, especificando claramente os bits que os compõem. RAM 16kx8 bits Address DataIn R/W CS Ñ RAM 16kx8 bits Address DataIn R/W CS Ñ EPROM 8kx16 bits Address CS Ñ EPROM 8kx16 bits Address CS Ñ EPROM 16k x 16 bits 16 bits 0000h 2000h RAM 8k x 16 bits RAM 8k x 16 bits 7FFFh DFFFh

...

FFFFh

...

(12)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

Aluno: Nº Pág. 12

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A

EXAME

7. Considere o dispositivo PAL representado abaixo. Marque com x nas matrizes programáveis, quais as ligações que devem ser estabelecidas por forma a implementar as seguintes funções:

 𝑓(A, B, C, D) = AB𝐷̅ + 𝐴𝐵̅𝐶̅𝐷

 𝑔(A, B, C, D) = 𝐵̅C + BCD

 ℎ(A, B, C, D) = 𝐴𝐶̅𝐷̅ + 𝐴̅𝐵̅𝐶̅𝐷

 𝑖(A, B, C, D) = AB𝐷̅ + 𝐴𝐵̅𝐶̅𝐷 + 𝐴𝐶̅𝐷̅ + 𝐴̅𝐵̅𝐶̅𝐷 + 𝐴𝐵𝐶

(13)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

A

EXAME

8. Considere o seguinte diagrama de estados de um circuito sequencial síncrono, caracterizado por uma entradas A e 3 saídas (X,Y,Z):

S0 101 S2 110 S3 001 S6 000 S5 001 S4 000 A=0 A=1 A=0 A=1 A=0 A=0 A=1 A=1 A=0 A=1

Pretende-se implementar este circuito através de uma máquina de estados micro-programada constituída por uma EPROM e um registo com carregamento paralelo. A codificação dos estados em CBN corresponde ao número do respetivo estado.

a) Identifique o(s) tipo(s) de endereçamento utilizado(s). Justifique. ... [0,5 val.] Endereçamento implícito e também endereçamento explícito. Admite incremento, hold, ES0 e ES1.

b) Identifique (ex: letra, nome ou acrónimo) e indique a largura (nº bits) dos sinais representados no diagrama: n1, n2, n3, n4, n5. ... [1,0 val.] “n1”=Estado Presente:3bits; “n2”=ES0:3bits; “n3”=ES1:3bits; “n4”=TEST0:2bits; “n5”=TEST1:2bits

c) Determine o conteúdo da fração da EPROM que permite implementar todas as transições do diagrama de estados que saem dos estados S0 e S2 (utilize o quadriculado da página seguinte para indicar o endereço e o valor das correspondentes posições da memória tendo em conta que, na figura, D(N-1) e D0 correspondem respetivamente aos bits de maior e menor peso do barramento de dados da EPROM). ... [1,0 val.]

d) Indique qual a dimensão mínima da EPROM (em número de bits) por forma a garantir o funcionamento do circuito, tendo em conta este diagrama de estados (não precisa fazer qualquer normalização para uma potência inteira de 2 no que toca ao comprimento da palavra). ... [0,5 val.] (2^3)*13=8*13=104 bits EPROM MUX A Ã 0 1 C1 1D 0 1 2 3 SEL Clk A d d re ss B u s D at a B u s n4 n2 n1 X Y Z MUX 0 1 2 3S1 S0 +1 n3 MUX 0 1 2 3 SEL n5 A Ã 0 1 D(N-1) D(0)

(14)

S

ISTEMAS

D

IGITAIS MEFT / MEAer

2016-2017

01 de Julho de 2017, 08:00

Aluno: Nº Pág. 14

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A

(15)

S

ISTEMAS

D

IGITAIS MEFT / MEAer 2016-2017 01 de Julho de 2017, 08:00

A

EXAME

S0 101 S2 110 S3 001 S6 000 S5 001 S4 000 A=0 A=1 A=0 A=1 A=0 A=0 A=1 A=1 A=0 A=1 (Figura repetida)

Há várias soluções. Aqui estão algumas.

EP N5(1) N5(0) N4(1) N4(0) N3(2) N3(1) N3(0) N2(2) N2(1) N2(0) Z Y X S0 0 0 0 0 1 1 0 x x x 1 0 1 S0 0 0 1 1 1 1 0 0 0 0 1 0 1 S0 0 1 1 1 0 0 0 1 1 0 1 0 1 S0 1 0 0 0 x x x 1 1 0 1 0 1 S2 0 0 0 1 x x x 0 0 0 0 1 1 S2 0 0 1 1 0 1 1 0 0 0 0 1 1 S2 0 1 1 1 0 0 0 0 1 1 0 1 1 S2 1 1 0 1 0 0 0 x x x 0 1 1 S2 0 0 0 1 x x x 0 0 0 0 1 1

Referências

Documentos relacionados

Promovido pelo Sindifisco Nacio- nal em parceria com o Mosap (Mo- vimento Nacional de Aposentados e Pensionistas), o Encontro ocorreu no dia 20 de março, data em que também

A placa EXPRECIUM-II possui duas entradas de linhas telefônicas, uma entrada para uma bateria externa de 12 Volt DC e uma saída paralela para uma impressora escrava da placa, para

No entanto, maiores lucros com publicidade e um crescimento no uso da plataforma em smartphones e tablets não serão suficientes para o mercado se a maior rede social do mundo

Além dos cursos técnico e médio e de graduação tecnológica, o Centro Paula Souza atua também no ensino básico ou educação não formal, por meio de cursos de formação inicial

Para preparar a pimenta branca, as espigas são colhidas quando os frutos apresentam a coloração amarelada ou vermelha. As espigas são colocadas em sacos de plástico trançado sem

• “…Se puder verificar equipes incompletas no início da próxima aula seria uma mão

To control scope, we need to manage a list of tasks... To control time, we need to manage

Após a fundamentação teórica, baseada nas conferências anuais sobre o clima, em artigos científicos e acadêmicos, na legislação e decisões governamentais sobre