• Nenhum resultado encontrado

Latches e Flip

N/A
N/A
Protected

Academic year: 2022

Share "Latches e Flip"

Copied!
28
0
0

Texto

(1)

LATCHES e FLIP-FLOPs

Aula 15

GRECO-CIN-UFPE

(2)

Como implementar uma célula de memória?

Latches e Flip

Latches e Flip--Flops Flops

r

n-1

r

n-2

r

n-3

r

n-4

r

0

célula { 0,1} = 1 bit de informação

Registrador de n bits Registrador de n bits

Componente de memória Componente de memória Flip

Flip--Flop/Latches Flop/Latches

Para que a variável de estado mude é preciso que ocorram determinadas combinações nas variáveis de entrada.

Uma vez alterada, a variável de estado permanecerá num estado fixo até que volte a ocorrer certas combinações de entrada.

saídas saídas entradas

entradas

Q yQ y Q y Q y

Componente de memória Componente de memória

Variável de estado

Variável de estado

(3)

Latches e Flip-Flops são componentes primitivos de memória

Latches

– São componentes cuja saída responde apenas à

Latches e Flip

Latches e Flip--Flops Flops

– São componentes cuja saída responde apenas à mudança da entrada.

• Latch sem controle de relógio - Neste caso a saída é função direta da entrada.

• Latch sensível a nível (clocked) (Sensível a nível) - A saída é modificada apenas quando o circuito é

habilitado através de um sinal enable ou clock.

(4)

R

S

Q

\Q

Latches e Flip

Latches e Flip--Flops Flops

Latch RS Q

Mantém Q 0

1

Q (não usado) S

0 0 1 1

R 0 1 0 1

100 R

S Q

\ Q

Diagrama de tempo do latch RS Reset Hold Set

Estado proibido

Reset Set

Estado proibido

Race

Q (não usado)

1 1

(5)

Chaveamento de sinais eletrônicos usando Latchs RS

Sinais digitais podem ser introduzidos em um sistema através de chaves mecânicas.

Quando aberta Vout = o V

Quando fechada Vout = Vh

Problema

Vh Vout

Problema

– Quando a chave aberta é fechada, seus contatos mecânicos vibram ou “bounce” por um curto período de tempo até estabilizar e fechar completamente.

– Esta vibração causa transientes indesejáveis na tensão de saída, que provocam um comportamento irregular do circuito digital (circuito lógico)

Vh

tempo

(6)

Solução

– Retirar o “bounce” usando um Latch tipo RS, ou seja, o circuito pode ser “debounced”.

Chaveamento de sinais eletrônicos usando Latch RS

R

Q

+

on

off

S

tempo Q

Ligar chave (on) Desligar chave (off)

– O Latch RS responde quase que instantaneamente a primeira subida do sinal de entrada na linha R ou S. Assim quando a chave é ligada (on) (S=1, R=0), a posição do Latch irá para o estado Q=‘1’ na primeira parte do “bounce”.

– Mesmo que a chave vibre o sinal permanecerá estável, desde que (S=0,R=0) mantém o estado do Latch.

– Assim Q=1 até que o operador desligue a chave (off).

– Colocando a chave em off, o Latch vai para um novo estado (S=0,R=1) com Q=0. O comportamento será similar ao caso anterior.

S

\Q

off

Q

tempo

(7)

Funcionamento do Latch RS

– S=0, R=0

• O próximo estado tem valores iguais aos valores anteriores (estado estável)

– S=0, R=1

• Esta condição de entrada provoca um reset no latch, forçando Qn+1 para zero (‘0’), Qn+1 para ‘1’.

Latches e Flip

Latches e Flip--Flops Flops

R

S

Q

\Q

Qn+1 Mantém Q S

0 R

n+1 n+1 0

– S=1, R=0

• Nestas condições o latch é “setado”, ou seja Qn+1 vai para o nível lógico ‘1’, Qn+1 = ‘0’.

– S=1, R=1

Não usado. Por que?

• Neste caso em particular as duas saídas seriam ‘0’, o que implicaria de imediato na inconsistência com a teoria das saídas Q e Q.

• Um outro ponto crítico ocorre quando passamos deste estado para S=0 e R=0. Neste caso, seguindo a tabela verdade e o

comportamento do Latch, a saída deveria permanecer inalterada, o que não ocorre, gerando um estado indefinido para Qn+1 e Qn+1 . Devido a esta ambigüidade a condição S=1 e R=1 não é usada para Latch RS.

Mantém Qn 0

1 Indefinido 0

0 1 1

0 1 0 1

(8)

Tabela verdade do latch RS

Próximo estado = F(S, R, Current State) Mapa de Karnaugh

SR

00 01 11 10

0 0 X 1

0 Q ( t )

S

Latches e Flip

Latches e Flip--Flops Flops -- RS RS

Mantém Mantém Reset Reset

S R Q

R-S

Latch Q+

Equação de próximo estado:

Q+ = S + R Q(t)

R

1 0 X 1

1

Reset Reset Set Set

Indefinido

Indefinido

(9)

\ S

\ R

\ Q

Q

Latches e Flip

Latches e Flip--Flops Flops -- RS RS

Latch RS - sensível a nível

Latches sensíveis a nível mostram continuamente suas entradas enquanto são habilitados (enb = 0)

Q

\enb

Diagrama de tempo

Set Reset

Enable

(10)

Para que um Latch funcione corretamente as entradas S (ou R) só podem ser alteradas quando o circuito alcançar um estado estável

Como conseguir isto? Introduzir um relógio (clock)

Latches e Flip

Latches e Flip--Flops Flops -- RS RS

R-S S

R

S R

Q Q

clock R-S

S R

Q Q c

pulso de relógio (clock) ou pulso de transferência

A largura do pulso de clock deve ser suficiente para que o circuito alcance o próximo estado.

O tempo entre dois pulsos deve ser suficiente para que o flip-flop alcance o estado permanente.

– A saída começa a mudar t após o pulso de clock ser aplicado e uma nova saída em estado permanente aparece 2 t.

– Se a entrada muda enquanto o pulso de clock estiver ativo (alto), o funcionamento do circuito pode não ser o esperado.

R

(11)

Clear e Preset

S Q

Clear (Reset)

clock R-S

R Q

c

Reset

Latches e Flip

Latches e Flip--Flops Flops -- RS RS

R Q

Preset (Set)

S Q

Set

Clear (Reset)

Preset (Set)

Q Q’

0 0 normal normal

1 0 0 1

0 1 1 0

1 1 Não

usado

Não usado

*com clock = ´0’

*com clock = ´0’

(12)

Latch JK

Como eliminar o estado proibido dos Latches tipo RS?

Usar uma re-alimentação para garantir que R e S nunca são “1”.

Latches e Flip

Latches e Flip--Flops Flops -- JK JK

R-S latch K

J S

R

Q

Q Q

Q Est. Pres. Pró. Estado

Est. Pres. Pró. Estado

Quando J e K são iguais a “1”

a saída é invertida (Toggle) Equação de próximo estado

Q+ = Q K + Q J

J(T)

J(T) K(t) K(t) Q(t) Q(t) Q(t+ Q(t+ ∆∆∆∆∆∆∆∆ ))

0

0 0 0 0 0 0 HOLD 0 HOLD 0

0 0 0 1 1 1 1

0

0 1 1 0 0 0 RESET 0 RESET 0

0 1 1 1 1 0 0

1

1 0 0 0 0 1 SET 1 SET 1

1 0 0 1 1 1 1

1

1 1 1 0 0 1 TOGGLE 1 TOGGLE 1

1 1 1 1 1 0 0

Est. Pres. Pró. Estado Est. Pres. Pró. Estado

(13)

Latch J-K (Condição de concorrência - Racing)

J K

Set Reset 100 Toggle

Latches e Flip

Latches e Flip--Flops Flops -- JK JK

K Q

\ Q

Nesta estrutura o latch começa a oscilar (Toggle) O Latch deveria mudar de estado a cada evento de relógio.

Para solucionar esta problema devemos usar a estrutura

Master/Slave (Mestre/Escravo).

(14)

Flip-Flop

– São componentes de memória, que diferentemente dos latches, permitem que suas saídas mudem apenas na transição do relógio ou clock.

• Flip-Flop que dispara na subida do relógio (positive edge-

Latches e Flip

Latches e Flip--Flops Flops

• Flip-Flop que dispara na subida do relógio (positive edge- triggered). Mostra suas entradas na saída quando o clock vai de do nível lógico’0’ para o nível lógico ‘1’.

• Flip-Flop que dispara na descida do relógio (negative edge-triggered). Mostra suas entradas na saída quando o clock vai de do nível lógico’1’ para o nível lógico ‘0’.

• Flip-Flop Mestre-Escravo

(15)

Estágio Mestre Estágio Escravo

R-S Latch

R-S Latch

R R

S

\Q

Q

\P R

S

\Q

Q

\Q

Latches e Flip

Latches e Flip--Flops Flops -- RS RS

Flip-Flop mestre-Escravo

Entrada disponível no latch Mestre enquanto o relógio está alto.

Observe que o estágio Escravo está bloqueado (relógio está baixo).

S S

Clk

Q P S Q

Q

Saída disponível do latch Escravo quando o relógio for para nível lógico baixo.Relógio liberado para o estágio escravo.

Observe que o estágio Mestre

está bloqueado (relógio está

baixo).

(16)

Latches e Flip

Latches e Flip--Flops Flops -- RS RS

1

S

Latch R-S R-S

Latch

R R

S

Clk

\Q Q

\P

P

R S

\Q Q

\Q

Q

Q S R P

clock

A entrada deve permanecer estável a partir deste ponto

A saída não muda até este ponto

p

2 t 2 t

2 t

1 0 1 0 1 0 1 0

1 0

(17)

Estágio Mestre Estágio Escravo

J

R-S Latch

R-S Latch

K R

S

\Q

Q

\P

P

R

S

\Q

Q

\Q

Q

Latches e Flip

Latches e Flip--Flops Flops -- JK JK

Flip-Flop JK Mestre Escravo

Master outputs Slave outputs

Set Reset T oggle

1's

Catch 100

J K Clk

P

\ P Q

\ Q J

Clk

P Q

problema de captura do ‘1’Devido ao problema da captura do ‘1’ no FF - JK, cuidado deve ser tomado para

evitar “glitch” na entrada do FF evitando-se saídas indesejáveis

(18)

Flip-Flop tipo D

Características

– Flip-Flop tipo D construído a partir de um Flip-Flop tipo RS – A saída recebe a entrada

– Equação de próximo estado:

Estado presente Estado presente

Próximo estado Próximo estado

D

0 1 0 1 0 1 0

1 Q

D

D Q Q

D

0 1 0 X 0 1 0

1 Q

S=D

D

X 0 1 0 0 1 0

1 Q

R=D

D 0 1 1 0

Q

+

0 1 1 0 Q

0 0 1 1

S 0 1 X 0 R X 0 0 1

Flip-Flop D implementado a partir de Flip-Flop tipo RS

D

S R C

Q Q

Q Q + = D

Clk Clk Q Q´´

Equação de próximo estado

Q+ = D(t)

(19)

Flip-Flop tipo D a partir de FF JK

Características

– Flip-Flop tipo D construído a partir de um Flip-Flop tipo JK – Equação de próximo estado:

Q(t+ δ ) = D(t)

D

0 1 0 1 0

Q

Q(t+ δ ) = D(t)

Flip-Flop D implementado a partir de Flip-Flop tipo JK

D

J Q

K C

Q Q 0 1 0 1 Q + = D 0

1

D = Q= 0, Q+ = 0 Então J = 0, K = X

D

X X 1 0 K = D 0 1 0

1 Q D

0 1 X X J = D 0 1 0

1 Q

D = Q = 1, Q+ = 1

Então J = X, K=0

(20)

Flip-Flop tipo T

Características

– Flip-Flop tipo T construído a partir de um Flip-Flop tipo JK – A saída Q é invertida sempre que T =´1´.

– Equação de próximo estado: Q(t+ δ ) = T(t)Q(t)+T(t)Q(t)

T

X X 0 1

K = T 0 1 0

1 Q

J = T T

0 1 X X 0 1 0

1 Q

T 0 1 1 0

Q

+

0 1 0 1 Q

0 0 1 1

K X X 1 0 J 0 1 X X

Q(t+ δ ) = T(t)Q(t)+T(t)Q(t)

Flip-Flop T implementado a partir de Flip-Flop tipo JK

T

J K C

Q Q

Q

(21)

Flip-Flops

D 0 1 0 1 T

0 1 1 0 Q

+

0 1 0 1 Q

0 0 1 1

S 0 1 0 X R X

0 1 0

K X X 1 0 J 0 1 X X

Tabela verdade dos Flip-Flops

1 0

1

1 0 X X 0

R-S:

D:

J-K:

T:

Q+ = S + R Q Q+ = D

Q+ = J Q + K Q Q+ = T Q + T Q

Equações de próximo estado dos Flip-Flops

(22)

Flip-Flops

Características

R-S Clocked Latch:

Usado como elemento de memória.

Fundamental na construção de outros tipos de Flip-Flops.

Flip-Flop J-K Flip-Flop J-K

Construção versátil

Pode ser usado na construção de Flip-Flops D e T

Usualmente requer menos lógica para implementar controles sequênciais

Flip-FlopD

Reduz conexões, preferível em projetos VLSI Técnica simples em projetos

Melhor escolha para registradores Flip-Flop T

Não existe na realidade. São construídos a partir de Flip-Flops JK.

Usualmente é uma boa escolha em projetos de contadores.

(23)

Dispositivo que dispara, ou seja, carrega o dado de entrada na descida do relógio

(Negative Edge Triggered).

Flip-Flop - trigado na subida do relógio

7474

D Q

Latches e Flip

Latches e Flip--Flops Flops -- RS RS

Dispositivo no qual a informação de entrada fica disponível na saída enquanto o relógio estiver ativado (enable).

Latch - sensível a nível

7476*

D Q

Clk Clk

*Obs: Tipo D a partir do JK

*Obs: Tipo D a partir do JK

(24)

Diagrama de Tempo

D

Latches e Flip

Latches e Flip--Flops Flops -- RS RS

Clk Q

Q

7474 7476

7474

D Q

Clk

Flip-Flop

informação segura quando o relógio vai para ‘1’

informação segura com o clk =‘1’

Latch 7476

D Q

Clk

(25)

0 0 0

D C

Q Q

D C

Q Q

D C

Q Q

a b c

1 0 1

1 0 1 0 1 1 1 0 1

Exemplo circuito sequencial – utilizando latch tipo D

∆ t´ >> tempo de resposta do latch latch

a=1

c=1 b=1

a=0 b=0

c=0

a=1 b=1 c=1

D=1 D=0 D=1

(26)

0 0 0

D C

Q Q

D C

Q Q

D C

Q Q

a b c

1 0 1

0 0 0

Mudança de estado

10 1 1 0 1

Exemplo circuito sequencial – utilizando Flip-flop tipo D

∆ t´ independe do tempo do clock alto, o que interessa é a transiçaõ do clock

Flip-Flop a=0

c=0 b=0

a= 1 b=0 c=0

Mudança de estado

a= 0 b= 1 c= 0

a= 1 b= 0 c= 1

D=1 D=0 D=1

(27)

•• Implementar o diagrama de tempo do circuito abaixo e identificar Implementar o diagrama de tempo do circuito abaixo e identificar sua funcionalidade (considere Flip

sua funcionalidade (considere Flip--flops JK) flops JK)

Exercício Exercício

LSB

LSB MSB MSB

Qa Qb Qc Qa Qb Qc

J K C

Q Q

J K C

Q Q

J K C

Q Q

‘1’

‘1’

clock

clock

(28)

Flip-Flop trigado na borda - Entender seu funcionamento

– Além do FF tipo Master-Slave existem dois outros modelos largamente utilizados:

• Positive edge-triggered (Trigado na borda positiva 0 →→→→ 1), ou seja na subida do relógio.

• Negative edge-triggered (Trigado na borda negativa 1 →→→→ 0), ou seja na descida do relógio.

Exercício Exercício

seja na descida do relógio.

Exemplo (FF-D trigado na descida)

R

S

Q

\Q clock

D D

D D

segura D quando o clock vai para ‘0’

segura D quando o clock vai para ‘0’

clock D Q

Referências

Documentos relacionados

Sabe-se que os elétrons 3d possuem tanto um caráter localizado como também um certo grau de itinerância e, portanto, existe uma concorrência entre o modelo do tipo localizado

Contudo, não se observou diferença na taxa de sobrevivência em relação ao número de imunizações; o Imunizações com parasitos vivos induzem elevados níveis de IL-10 em

68/20/21/22 Também nocivo: possibilidade de efeitos irreversíveis por inalação, em contacto com a pele e por ingestão. 16 Manter afastado de qualquer chama ou fonte de ignição -

A AFISVEC fica autorizada a prorrogar a duração normal da jornada de trabalho em mais 2h (duas horas) suplementares diárias, sem pagamento de

Parágrafo Terceiro - Quando o serviço extraordinário for executado nos dias de sábado, domingo e feriados, serão concedidos, Ajuda de Custo para alimentação

A metodologia compreende a descrição dos métodos e procedimentos utilizados para levantamento das informações necessárias para compreender o problema ou a oportunidade ou o estágio

Visando sempre a geração de resultados finalísticos, o PAM, Projeto de Acesso a Mercados, quer ser a “porta de entrada” ou “ponta dos processos”

Determine a quantidade de azeite, em milhares de toneladas, que se deverá vender no mercado interno e a quantidade de azeite, em milhares de toneladas, que se