• Nenhum resultado encontrado

CARAÚBAS RN 2018

N/A
N/A
Protected

Academic year: 2021

Share "CARAÚBAS RN 2018"

Copied!
73
0
0

Texto

(1)

UNIVERSIDADE FEDERAL RURAL DO SEMI-ÁRIDO CAMPUS CARAÚBAS

CURSO DE ENGENHARIA ELÉTRICA

JOSÉ GARIBALDI DUARTE JÚNIOR

DESENVOLVIMENTO DE UM MODEM MULTIMODO BASEADO EM FPGA PARA APLICAÇÕES EM RÁDIO DEFINIDO POR SOFTWARE

CARAÚBAS RN 2018

(2)

2 JOSÉ GARIBALDI DUARTE JÚNIOR

DESENVOLVIMENTO DE UM MODEM MULTIMODO BASEADO EM FPGA PARA APLICAÇÕES EM RÁDIO DEFINIDO POR SOFTWARE

Monografia apresentada à Universidade Federal Rural do Semi-Árido (UFERSA), como exigência final para obtenção do título de Bacharel em Engenharia Elétrica.

Orientador: Francisco de Assis Brito Filho UFERSA.

CARAÚBAS RN 2018

(3)
(4)

3 JOSÉ GARIBALDI DUARTE JÚNIOR

DESENVOLVIMENTO DE UM MODEM MULTIMODO BASEADO EM FPGA PARA APLICAÇÕES EM RÁDIO DEFINIDO POR SOFTWARE

Monografia apresentada à Universidade Federal Rural do Semi-Árido (UFERSA), como exigência final para obtenção do título de Bacharel em Engenharia Elétrica.

Orientador: Francisco de Assis Brito Filho UFERSA.

APROVADO EM ____/____/____

BANCA EXAMINADORA

___________________________________________

Dr. Sc. Francisco de Assis Brito Filho Presidente - Orientador

___________________________________________

Dr. Sc. Ernano Arrais Junior Membro

___________________________________________

Dr. Sc. Hugo Michel Camara de Azevedo Maia Membro

___________________________________________

Bel. Silvan Ferreira da Silva Júnior Membro

CARAÚBAS RN 2018

(5)

4 Ao meu pai, José Garibaldi e à minha mãe, Maria Bernadete. As duas pessoas que mais amo, admiro e respeito.

DEDICO

(6)

5 AGRADECIMENTOS

Agradeço inicialmente as pessoas mais importantes da minha vida, meu pai José Garibaldi e minha mãe Maria Bernadete, pelos ensinamentos, conselhos e amor a mim dedicados.

A toda a minha família, pelo ambiente de apoio e acolhimento a mim proporcionado ao longo de todos estes anos de estudo.

A minha namorada Alice Andrade, por todo amor, compreensão, companheirismo e conselhos durante o período da graduação.

Aos meus companheiros graduação AlefJohn, Allan, Anderson, Esdras e Gonçalo, e amigos do Caixa por todo o apoio e ajuda ao longo do período de convívio.

Ao meu orientador e amigo Brito Filho, por todo o apoio, comprometimento e confiança para realizar este trabalho.

Ao corpo docente desta instituição, por todos os ensinamentos passados e experiências compartilhadas ao longo do período de graduação.

Aos meus companheiros da Cosern - UTD Alto Oeste, por todo os ensinamentos e experiências compartilhadas ao longo do período de estágio.

(7)

6 RESUMO

Com o desenvolvimento das tecnologias de comunicação, são muitos os padrões existentes devido principalmente as suas áreas de aplicação. Em decorrência disto, surge a necessidade de sistemas que possam operar de maneira satisfatória entre diferentes esquemas de comunicação, proporcionando assim uma maior interação entre as aplicações. O Rádio Definido por Software (SDR) é um sistema de comunicação de rádio que possibilita o uso de uma arquitetura geral para diferentes padrões de comunicação por meio de ajustes via código ou alguma interface semelhante. Afim de possibilitar as interações do SDR entre os esquemas, um modem que opere múltiplos padrões de modulação é necessário. Este trabalho apresenta o desenvolvimento e implementação em FPGA de um modem operante nos esquemas de modulação digital em amplitude (ASK), em frequência (FSK) e em fase (PSK). A arquitetura do Modem Multimodo foi concebida por meio de uma linguagem de descrição de hardware, possibilitando assim ajustes via código que possibilitassem alterar o funcionamento do sistema. Afim de possibilitar os testes do modem, foram implementados circuitos auxiliares, sendo um conversor Digital- Analógico do tipo R2R Ladder, um conversor Analógico-Digital Sigma-Delta com Filtro CIC e um gerador de bits. A prototipagem do modem foi realizada em um FPGA modelo Cyclone III junto a placa de desenvolvimento DE-0. Com base em um clock de 50 MHz, foram obtidas formas de onda com resolução de 9 bits entre 830 kHz e 1,66 MHz, obtendo ainda sinais modulados com largura de banda de 200 kHz para modulação ASK e 820 kHz para FSK. Com a síntese do código, verificou-se a possibilidade de operação da arquitetura até a frequência de 146 MHz, utilizando-se um total de 34 % da capacidade total do processamento do FPGA em questão. Sendo assim, a arquitetura desenvolvida apresentou-se satisfatória no processo de modulação e demodulação de sinais em relação aos esquemas de modulação básicos de maneira configurável, possibilitando sua utilização junto a sistemas de Rádio Definido por Software.

Palavras-Chave: Rádio Definido por Software, Modem, A/D, D/A, FPGA, VHDL, Modulação em Amplitude, Modulação em Frequência, Modulação em Fase.

(8)

7 ABSTRACT

With the development of communication technologies, many existing standards are mainly due to their application areas. As a result, there is a need for systems that can operate satisfactorily between different communication schemes, thus providing a greater interaction between applications. Software Defined Radio (SDR) is a radio communication system that allows the use of a general architecture for different communication standards through adjustments via code or some similar interface. In order to enable SDR interactions between schemes, a modem that operates multiple modulation patterns is required. This work presents the development and implementation in FPGA of a modem operating in digital amplitude (ASK), frequency (FSK) and phase (PSK) modulation schemes. The architecture of the Multimode Modem was designed through a hardware description language, thus allowing adjustments through code that would allow to change the operation of the system. In order to enable the modem tests, auxiliary circuits were implemented, being a Digital-Analog converter of the type R2R Ladder, a Sigma- Delta Analog-Digital converter with Fitro CIC and a bit generator. Modem prototyping was performed on a FPGA model Cyclone III together with the DE-0 development board. Based on a 50 MHz clock, 9-bit resolution waveforms were obtained between 830 kHz and 1.66 MHz, while still obtaining modulated signals with a bandwidth of 200 kHz for ASK modulation and 820 kHz for FSK. With the synthesis of the code, it was verified the possibility of operating the architecture up to 146 MHz, using a total of 34 % of the total processing capacity of the FPGA in question. Therefore, the architecture developed was satisfactory in the process of modulation and demodulation of signals in relation to the basic modulation schemes in a configurable way, allowing its use in conjunction with Software Defined Radio systems.

Keywords: Software Defined Radio, Modem, ADC, DAC, FPGA, VHDL, Amplitude Modulation, Frequency Modulation, Phase Modulation.

(9)

8 LISTA DE ABREVIATURAS

ACLR - Adjacent Channel Leakage Ratios ADC - Analogic-Digital Converter AM - Modulação em Amplitude

AM-DSB - Modulação em Amplitude de Dupla Banda Lateral AM-SSB - Modulação em Amplitude de Banda Lateral Simples AM-VSB - Modulação em Amplitude de Banda Lateral Vestigial ASIC - Application Specific Integrated Circuits

ASK - Amplitude Shift-Keying BER - Bit Error Rate

BL - Bloco Lógico

BLI - Banda Lateral Inferior BLS - Banda Lateral Superior BW - Bandwidth

CIC - Cascaded Integrator-Comb CLB - Bloco Lógico Configurável DAC - Conversor Digital-Analógico DSP - Digital Signal Processor

FDM - Multiplexação por Divisão de Frequência FFD - FlipFlop D

FM - Modulação em Frequência

FMFE - Modulação em Frequência de Faixa Estreita FMFL - Modulação em Frequência de Faixa Longa FPGA - Arranjo de Portas Programáveis em Campo FSK - Frequency Shift-Keying

HDL - Field-Programmable Gate Array HR - Hardware de Rádio

I/O - Entrada e Saída

IEEE - Institute of Electrical and Electronics Engineers IF - Frequência Intermediária

ISO - International Organization for Standardization ISR - Software de Rádio Ideal

(10)

9 ITU - International Telecommunication Union

LUT - Look Up Table MUX - Multiplexador OL - Oscilador Local

OSI - Open System Interconnection OSR - Taxa de Sobre amostragem

PLD - Dispositivo de Lógica Programável PM - Modulação em Fase

PSK - Phase Shift-Keying

QAM - Modulação em Amplitude em Quadratura RF - Rádio Frequência

RTL - Register Transfer Level

SCR - Rádio Controlado por Software SDR - Rádio Definido por Software SNR - Relação Sinal-Ruído

SOC - System-on-a-Chip USR - Ultimate Sofware Radio

VHDL - VHSIC Hardware Description Language

(11)

10 LISTA DE TABELAS

Tabela 01 - Especificações do padrão IEEE 802.11. ... 16

Tabela 02 - Classificação para Softwares de Rádio e descrições. ... 20

Tabela 03 - Visão Geral dos Padrões de Comunicação Sem Fio. ... 24

Tabela 04 - Padrões de Modens ITU/T. ... 30

(12)

11 LISTA DE FIGURAS

Figura 01 - Modelo OSI. ... 19

Figura 02 - Arquitetura básica de um Rádio Definido por Software. ... 19

Figura 03 - Representatividade para os níveis de Software de Rádio. ... 21

Figura 04 - Esquemas de Recepção e Transmissão para um SDR. ... 23

Figura 05 - Faixa de Operação para algumas topologias de ADC. ... 25

Figura 06 - Arquitetura básica de um ADC Sigma-Delta. ... 26

Figura 07 - Opções de Reconfigurabilidade para um conversor Sigma-Delta padrão. ... 27

Figura 08 - Diagrama de bloco para um DAC genérico. ... 27

Figura 09 - Conversor DAC R2R Ladder genérico. ... 29

Figura 10 - Formas de onda para Modulação em Amplitude. ... 32

Figura 11 - Representação no domínio da frequência para Modulação AM. ... 32

Figura 12 - Formas de onda para Modulação em Frequência. ... 34

Figura 13 - Representação FM no domínio da frequência. ... 35

Figura 14 - Função de Bessel de n-ésima ordem. ... 35

Figura 15 - Formas de onda para Modulação em Fase. ... 36

Figura 16 - Modulação PM no domínio da frequência. ... 37

Figura 17 - Forma de onda para modulação ASK - On-Off. ... 38

Figura 18 - Arquitetura genérica para o modulador ASK. ... 39

Figura 19 - Esquema para um Modulador FSK genérico e sua a forma de onda FSK. ... 39

Figura 20 - Forma de onda para um sinal PSK... 39

Figura 21 - Modulador PSK genérico. ... 40

Figura 22 - Representação para Modulações M-árias. (a) ASK, (b) PSK, (c) 4-ASK, (d) 16- QAM, (e) 4-QAM, (f) 8-PSK. ... 40

Figura 23 - Arquitetura interna de um FPGA. ... 42

Figura 24 - Estrutura interna de um Elemento Lógico Básico (BLE). ... 43

Figura 25 - Arquitetura mais complexa para um FPGA. ... 44

Figura 26 - Fluxograma de desenvolvimento dos códigos no Quartus II. ... 47

Figura 27 - Placa DE0. ... 48

Figura 28 - Diagrama de blocos para o Modem Multimodo. ... 49

Figura 29 - Diagrama do blocos do Oscilador Local. ... 50

Figura 30 - Diagrama de blocos para o Modulador ASK. ... 51

(13)

12

Figura 31 - Diagrama de blocos para o Modulador FSK. ... 52

Figura 32 - Diagrama de blocos para o Modulador PSK. ... 52

Figura 33 - Diagrama de blocos para o Demodulador. ... 53

Figura 34 - Diagrama de blocos para o Moldem Multimodo gerado pelo Quartus II. ... 54

Figura 35 - Disposição geral do Modem Multimodo e as estruturas para simulação e testes.. 55

Figura 36 - Gerador de Dados Pseudoaleatórios. ... 56

Figura 37 - Conversor R2R Ladder. ... 56

Figura 38 - Diagrama de blocos para o Conversor Sigma-Delta e Filtro CIC. ... 57

Figura 39 - Formas de onda para o (a) Gerador de Dados Pseudoaleatórios e (b) Oscilador Local. ... 58

Figura 40 - Formas de onda obtidas em simulação: (a) Modulação ASK; (b) Modulação FSK; (c) Modulação PSK; ... 59

Figura 41 - Formas de onda da simulação do Demodulador ASK. ... 60

Figura 42 - Formas de onda para a Demodulação (a) FSK e (b) PSK. ... 61

Figura 43 - Formas de onda da saída do Modulador Sigma-Delta. ... 62

Figura 44 - Formas de onda do: (a) sinal de referência e saída do Conversor D/A; (b) FFT do sinal de referência; (c) FFT da saída do Conversor D/A. ... 63

Figura 45 - Formas de onda para (a) Modulação ASK e (b) seu espectro de frequência. ... 64

Figura 46 - Formas de onda para (a) modulação FSK e (b) seu espectro de frequência. ... 65

Figura 47 - Formas de onda para (a) modulação PSK e (b) seu espectro de frequência. ... 66

Figura 48 - Formas de onda para o (a) sinal dados (azul) e o sinal recuperado (vermelho); (b) Detalhe da diferença de tempo. ... 67

(14)

13 SUMÁRIO

1. INTRODUÇÃO ... 15

1.1. OBJETIVOS ... 16

1.1.1. Objetivo Geral ... 16

1.1.2. Objetivos Específicos ... 17

2. FUNDAMENTAÇÃO TEÓRICA ... 18

2.1. RÁDIO DEFINIDO POR SOFTWARE ... 18

2.1.1. Conceito e Definições ... 18

2.1.2. Níveis de SDR ... 20

2.1.3. Aspectos Importantes ... 22

2.2. CONVERSORES ... 22

2.2.1. Fundamentos de Conversores ADC / DAC ... 22

2.2.2. Visão Geral dos Conversores para Comunicação Sem fio ... 23

2.2.3. Conversor Analógico-Digital (ADC) ... 24

2.2.4. Conversor Digital-Analógico (DAC) ... 27

2.3. MODENS ... 29

2.4. SISTEMAS DE MODULAÇÃO ... 30

2.4.1. Modulação em Amplitude (AM) ... 31

2.4.2. Modulação em Frequência (FM) ... 33

2.4.3. Modulação em Fase (PM) ... 36

2.4.4. Esquemas de Modulação Digital ... 37

2.5. PROTOTIPAGEM EM SISTEMAS DIGITAIS ... 41

2.5.1. Matrizes de Portas Programáveis em Campo ... 41

2.5.2. Linguagens de Descrição de Hardware ... 44

3. METODOLOGIA ... 46

4. DESENVOLVIMENTO DO MODEM MULTIMODO ... 49

4.1. OSCILADOR LOCAL ... 50

4.2. MODULADOR ASK ... 50

4.3. MODULADOR FSK ... 51

4.4. MODULADOR PSK ... 52

4.5. DEMODULADOR ... 53

5. RESULTADOS ... 54

5.1. CIRCUITOS AUXILIARES ... 55

(15)

14

5.2. SIMULAÇÕES ... 57

5.3. TESTES EXPERIMENTAIS ... 61

6. CONCLUSÃO ... 68

7. REFERÊNCIAS ... 70

(16)

15

1. INTRODUÇÃO

O uso de transceptores digitais tem revolucionado a eletrônica de sistemas de comunicação provendo inúmeras aplicações e funcionalidades em diversas áreas, como a comunicação e interface de sistemas, transmissão e processamento de dados, e entre outras (BRITO; BAMPI, 2007). Em meio a esses avanços, um determinado tipo de transceptor digital se destaca, o Rádio Definido por Software SDR, sigla em inglês para Software Defined Radio.

O SDR se caracteriza como um sistema de comunicação de rádio onde todos os seus componentes, ou parte deles, que compõem e processam o sinal de informação, são implementados a nível de software embarcado, seja ele em um hardware específico para esta aplicação ou em um de uso geral (ROBERT, 2015). Uma vez que o sistema é regido via software, este pode ser ajustado através de uma interface digital, surgindo assim um leque de possibilidades de performances e adaptações que contribuem para seu uso em diversas aplicações.

Um SDR típico é composto por diversos blocos funcionais, entre eles se apresenta o Modem, dispositivo que realiza a modulação e demodulação do sinal de informação, possibilitando assim a comunicação do SDR com o mundo exterior através da comunicação sem fio (SAHA; BISWAS; SINHA, 2013). A transmissão de dados sem fio é estabelecida em diversos padrões, cada um deles com seus parâmetros bem especificados: esquemas de modulação, largura de banda, taxa de bits por segundo, erro, potência de transmissor, sensibilidade de receptor e etc. A Tabela 01 apresenta as especificações para o padrão IEEE 802.11. Observa-se a diversidade de características apenas para um padrão e suas variações.

Logo, surge a necessidade de um sistema que consiga operar sobre estes padrões e outros.

Com tantos avanços tecnológicos ao longo das últimas décadas no setor de telecomunicações, junto a interações cada vez mais fortes com a eletrônica digital para o processamento de sinais em altíssimas velocidades, muitas foram as formas, protocolos e esquemas de comunicação desenvolvidos para permitir uma padronização e legalização no ato de se transmitir e receber a informação de maneira eficiente, rápida e segura.

Em meio a tantos padrões de comunicação, como telefonia celular, Wi-fi, redes locais, comunicação via satélite e TV digital, surge a necessidade de dispositivos que consigam abranger muitos esquemas de comunicação de uma forma eficiente e com baixo custo. Como solução, a implementação de arquiteturas configuráveis representa uma importante linha de desenvolvimento que almeja alcançar um sistema com tal propósito de aplicação. Logo, há a

(17)

16 necessidade de implementação de Modens que possibilitem a comunicação do sistema, SDR por exemplo, por meio de diferentes esquemas de modulação, faixas de frequência e taxa de erro de bits (BER), dependendo do padrão especificado.

Tabela 01 - Especificações do padrão IEEE 802.11.

Padrão Faixa

GHz Padrões de

Taxas Modos Preâmbulo/cabeçalho Modos proprietários

adicionais 802.11 2.4 1 e 2 Mbit/s BPSK/QPSK- chipped DSSS BPSK- chipped DSSS

802.11a 5 6, 9, 12, 18, 24

Mbit/s 48 portadoras + 4 pilotos

OFDM OFDM 72 e 108 Mbit/s

802.11b 2.4 Modos 802.11b DSSS mais 5,5 e

11 Mbit/s

BPSK/QPSK- chipped

CCK/PBCC BPSK - chippedDSSS preâmbulo,

cabeçalho curto opcional (QPSK) 22 Mbit/s PBCC 802.11g

2.4 Modos 802.11b mais 6, 9, 12, 18 e

24 Mbit/s

48 portadoras + 4 pilotos

OFDM OFDM 72, 100 e 108 Mbit/s

OFDM obrigatório

802.11g

2.4 22 e 33 Mbit/s 8 PSK PBCC BPSK - chippedDSSS preâmbulo, cabeçalho curto opcional (QPSK) PBCC

opcional 802.11g

2.4 Ao menos os modos 802.11g

obrigatórios

48 portadoras + 4 pilotos

OFDM BPSK - chippedDSSS preâmbulo, cabeçalho curto opcional (QPSK) CCK-OFDM

opcional

Fonte: IEEE, 2018.

Neste trabalho, deseja-se desenvolver um sistema que opere como Modem para os esquemas de modulação digital em amplitude, fase e frequência, de forma reconfigurável em um dispositivo de uso geral e cujo desempenho permita a transmissão e recuperação dos dados de informação.

1.1. OBJETIVOS

Neste tópico serão abordados os objetivos, geral e específico, relacionados ao trabalho proposto.

1.1.1. Objetivo Geral

Implementar, em um arranjo de portas programáveis em campo (do inglês FPGA), um Modem multimodo capaz de operar nos três esquemas de modulação digital básicos, em amplitude, em frequência e em fase, junto a geração de uma portadora senoidal digitalizada para

(18)

17 modulação e demodulação dos dados de forma programável e capaz de ser utilizado em um Rádio Definido por Software genérico.

1.1.2. Objetivos Específicos

Realizar uma série de estudos a respeito dos esquemas de modulação e suas aplicabilidades em arquiteturas de Rádio Definido por Software;

Implementar em uma linguagem de descrição de hardware as arquiteturas de modulação e demodulação em amplitude, frequência e fase;

Desenvolver um esquema de simulação que permita a verificação dos métodos de modulação e recuperação dos dados;

Proceder na programação de um FPGA com as arquiteturas desenvolvidas junto a uma placa de desenvolvimento de uso geral afim de realizar testes experimentais;

Desenvolver um conjunto de conversores digital-analógico e analógico-digital que permita a verificação na prática das arquiteturas implementadas;

(19)

18

2. FUNDAMENTAÇÃO TEÓRICA

2.1. RÁDIO DEFINIDO POR SOFTWARE

2.1.1. Conceito e Definições

Um software de rádio é definido como um conjunto de processadores digitais de sinais

modelagem de canal, recepção...) e um agrupado de processos no qual o software de rádio está hospedado para realizar a comunicação em tempo real. Aplicações típicas incluem vóz/música, modens, pacotes de rádio, telemetria, TV digital, comunicação via satélite e etc (MITOLA, 1992). Circuitos Integrados, ou chip processamento digital de sinais com baixo custo e alta performance tendem a promover melhorias nos serviços de comunicação como os de software de rádio. Um SDR pode ser compreendido como uma aplicação que realiza todo, ou em parte, o processamento de sinais de comunicação por meio de um software reconfigurável.

O conceito de SDR tem evoluído bastante nas últimas três décadas, junto a sua aplicabilidade nas diversas partes que compõem um rádio. Neste período, uma ampla gama de estudiosos tem posto à prova seus conceitos de SDR, consequentemente, gerando várias interpretações para o que é ou não um SDR atualmente. Um motivo para este grau de variabilidade de percepções é que SDR é um termo com alto índice de abrangência que pode ser aplicado a diversas plataformas de rádio (QINETIQ, 2006). É importante ressaltar que o termo SDR não seja confundido com softwares de aplicação ou outros não associados com comunicação via rádio. Como dito anteriormente, SDR descreve um sistema que emula parte, ou todo um percurso de sinal, o qual é processado durante.

Considerando o modelo OSI (sigla do inglês para Open System Interconnection), que referencia redes de computadores segundo a norma ISO (sigla do inglês para International Organization for Standardization), como mostra a Figura 01, um SDR em sua funcionalidade geral está referenciado nas camadas Físicas, Enlace de Dados, e em parte na camada de Rede.

As funcionalidades para as camadas mais elevadas não são especificadas para um software de rádio no estado da arte presente, logo não se pode classificar este tipo de tecnologia para tais camadas (QINETIQ, 2006).

(20)

19 Figura 01 - Modelo OSI.

Fonte: QINETIQ, 2006.

Um transceptor de rádio do tipo definido por software básico tem sua arquitetura baseada nos seguintes itens, como mostra a Figura 02.

Figura 02 - Arquitetura básica de um Rádio Definido por Software.

Fonte: SADIKU; AKUJUOBI, 2004.

Dispositivo RF: Realiza toda comunicação por meio de sinais de rádio frequência, tanto para transmissão como para recepção;

ADC / DAC: Estrutura que realiza as conversões pertinentes dos sinais, Conversão Analógico para Digital (ADC) e Conversão Digital para Analógico (DAC);

(21)

20 DSP: Dispositivo responsável pelo processamento digital dos sinais envolvidos na comunicação sem fio. Geração de sinais, técnicas de modulação e demodulação, controle de erros, serviços multimídia são algumas de suas possíveis funcionalidades;

Aplicação: Parte a qual a informação já processada é direcionada ou advêm para ser transmitida. Segue das mais variadas aplicações que envolvem comunicação sem fio;

O SDR também possui componentes que processam os sinais de maneira não digital, neste caso via hardware, como é o caso dos componentes de RF (Rádio Frequência): Conversor RF, Distribuidores RF, Filtros Aliasing, Controles de Potência e etc (MITOLA, 1992).

2.1.2. Níveis de SDR

Especialistas definem ainda classificações para diferentes arquiteturas de SDR com base em sua complexidade e o nível de abrangência do processamento digital de sinal sobre o sistema como um todo. Tal classificação pode ser observada na Tabela 02.

Tabela 02 - Classificação para Softwares de Rádio e descrições.

Nível Nome Descrição

0 Hardware Rádio (HR) Rádio padrão, funcionalidades pré- definidas e fixas.

1 Rádio Controlado por Software (SCR) Rádio implementado utilizando hardware de aplicação específica. Um software é utilizado para apresentar uma interface que proporcione alteração de alguns

parâmetros (potência de transmissão, frequência...).

2 Rádio Definido por Software (SDR) Grande parte do processamento de sinal é implementado via software (frequência, técnicas de modulação e demodulação, encriptação, modelagem de canal e etc.).

Possibilita reconfiguração em diversos aspectos de funcionamento. Limita-se pelo processamento em hardware de parte do sinal.

3 Software de Rádio Ideal (ISR) Comparado com o SDR, o ISR apresenta um maior processamento digital do sinal.

A programação atende todo o caminho de percorrido pelo sinal de informação, incluindo processos de conversão ADC/DAC, RF e etc.

(22)

21 4 Ultimate Software Radio (USR) Grau máximo para um software de Rádio.

Todo o processo de comunicação é orquestrado via software e de maneira reconfigurável.

Fonte: QINETIQ, 2006.

O primeiro nível (zero) refere-se a arquitetura de rádio padrão e tradicional. O quinto nível (quatro) representa um sistema idealizado alvo de pesquisas e desenvolvimentos. Com

Como pode ser observado na Figura 03, quanto maior a classe de um rádio definido via software, mais funcionalidades são abrangidas pelo processamento digital do sinal, de mesma forma, menos funções são realizadas de maneira fixa via hardware, sendo assim, maior o teor de configurabilidade e programabilidade da arquitetura.

Figura 03 - Representatividade para os níveis de Software de Rádio.

Fonte: QINETIQ, 2006.

(23)

22 2.1.3. Aspectos Importantes

O Rádio Definido por Software ideal interopera com diversos serviços de comunicação por meio de sua pré-seleção de rádio frequência (RF), largura de espectro (banda) e requisitos de conversão AD/DA. Ao executar diferentes algoritmos, o SDR é auto reconfigurado de maneira quase instantânea com o intuito de se adaptar ao sinal a ser trabalhado para realizar a comunicação de forma eficiente (MITOLA, 1992).

O fato citado abre interessantes possibilidades para a expansão dos serviços de rádio existentes. Em um futuro não tão distante, um software de rádio poderá escolher de maneira automática e autonomamente o melhor modo e protocolo de comunicação para um dado tipo de informação, levando-se em conta meio de transmissão, condições climáticas e de tráfego, nível de segurança e confiabilidade, e etc. Deve-se também levar em consideração o custo do processo e qualidade de sinal.

2.2. CONVERSORES

2.2.1. Fundamentos de Conversores ADC / DAC

Um sistema de rádio definido por software é uma plataforma de comunicação, uma combinação de tecnologias de hardware e software que permite a reconfiguração de

entretanto, vem renascendo como resultado do emprego de semicondutores cada vez avançados, incluindo processadores digitais de sinal (DSP), arranjos de portas programáveis em campo de alta performance, conversores de dados com altas taxas de velocidade, bem como tecnologias avançadas rádio (PITHADIA, 2009).

Embora os avanços nas tecnologias de DSPs tenham acelerado o desenvolvimento de SDRs mais robustos, o principal componente que possibilita um SDR exercer suas atividades no domínio analógico é o conversor de dados de alta velocidade. Um receptor SDR utiliza um conversor analógico-digital (ADC) para digitalizar o sinal analógico em frequência intermediária (IF) que fora captado por um dispositivo de rádio frequência (RF) e convertido para frequências mais baixas. Uma vez digitalizado, o sinal é então conduzido a etapas de filtragem, demodulação, e encaminhado ao seu respectivo canal de aplicação. De maneira semelhante, um transmissor SDR realiza e codificação e modulação do sinal, ou carga de dados,

(24)

23 em domínio digital. Ao fim deste processo, o sinal é convertido para o domínio analógico através de um conversor digital-analógico (DAC) em IF, após isto, o sinal é transposto e filtrado para frequências mais elevadas e finalmente é transmitido por meio do dispositivo RF, como uma antena (4DSP, [20--]). Um esquema geral de recepção e transmissão é apresentado na Figura 04.

Figura 04 - Esquemas de Recepção e Transmissão para um SDR.

Fonte: 4DSP, [20--].

Em geral, os componentes ADCs e DACs definem a eficiência de um SDR. Para altas velocidades de conversão, a resolução decai. Altas taxas de conversão permitem maiores larguras de banda digitalizadas, entretanto, conversores de resolução elevada se mostram com faixas dinâmicas acentuadas (diferença de amplitude entre sinais de alta e baixa potências digitalizados simultaneamente). Uma aplicação para um sistema de comunicação pode exigir uma grande abrangência para a largura de banda (BW), porém isto pode acarretar a captação de sinais indesejados na recepção. Requerimentos referentes a faixa dinâmica se relacionam com o número de bits / resolução do processo de conversão (PITHADIA, 2009). Os aspectos citados

2.2.2. Visão Geral dos Conversores para Comunicação Sem fio

Cada padrão de comunicação tem diferentes especificações para cada bloco que compõem seus transceptores: diferentes portadoras de frequência, larguras de banda, potência

(25)

24 de transmissão, sensibilidade do receptor, e etc. A interoperabilidade de vários padrões em um

mesmo sistema é uma tarefa bastante complexa e que envolve

decisões que acarretarão em melhorias ou quedas de desempenho.

Na Tabela 03 se observa uma visão geral dos padrões de comunicação sem fio mais difundidos e utilizados. Com base nos dados e parâmetros de cada modelo, é possível ter uma noção da viabilidade de se implementar uma arquitetura de transceptor capaz de comportar mais de um padrão de comunicação sem fio.

Tabela 03 - Visão Geral dos Padrões de Comunicação Sem Fio.

Padrão Frequência da Portadora

Largura de Canal

Faixa Dinâmica -

ADC

Potência Máxima de Transmissão

GSM 850-1900 MHz 200 KHz 90 dB 2 W

EDGE 850-1900 MHz 200 KHz 87 dB 2 W

GPRS 850-1900 MHz 200 KHz 84 dB 2 W

CDMA2000 450-2100 MHz 1.228 MHz 80 dB -

W-CDMA 1900/2100 MHz 3.84 MHz 60 dB 2 W

Bluetooth 2.4 GHz 1.1 MHz 66 dB 100 mW

IEEE802.11a 5 GHz 20 MHz 55 dB 800 mW

IEEE802.11b 2.4 GHz 5.5 MHz 55 dB 1 W

IEEE802.11n 2.4/5 GHz 20/40 MHz 55 dB 1 W

Fonte: STEYAERT; PALMERS; CORNELISSENS, 2011.

2.2.3. Conversor Analógico-Digital (ADC)

Como já dito anteriormente, o conversor analógico-digital, ou simplesmente ADC, é responsável por transpor um sinal de medidas contínuas ao longo do tempo, comumente definido como sinal analógico, para um sinal descretizado e quantizado, ou sinal digital. Este sinal digital é então encaminhado as etapas seguintes de processamento. No geral, as principais especificações de ADC são largura de banda de operação (BW) e faixa dinâmica. O nível de complexidade do sistema do qual o ADC está inserido irá definir com exatidão as especificações de desempenho do conversor: a escolha do filtro antialiasing irá afetar a frequência de amostragem do ADC; a faixa dinâmica é também afetada pelo amplificador de ganha variado, sensibilidade do dispositivo receptor e pelos níveis de interferência externa e interna (STEYAERT; PALMERS; CORNELISSENS, 2011).

(26)

25 É possível definir uma Figura de Mérito (FDM) de um ADC com base em alguns de seus parâmetros que variam entre as topologias de ADC.

Sendo P a potência consumida, BW a largura de banda de frequência convertida, ENOB denota ao número de bits utilizados para realizar a conversão levando-se em consideração o BW e efeitos de ruído e distorção. A unidade de medida para a FDM é energia por unidade conversão, logo, mensura a eficiência do conversor (STEYAERT; PALMERS;

CORNELISSENS, 2011).

Existem muitas topologias que implementam um ADC, variando suas características de desempenho e consequentemente seus empregos. Como é mostrado na Figura 05, por exemplo:

uma topologia de alta precisão de conversão, porém de performance lenta devido à alta quantidade de ciclos de clock para realizar a tarefa; ou de um nível de precisão não tão alto, entretanto com uma alta velocidade de conversão, ou seja, utilizando poucos ciclos de clock.

Figura 05 - Faixa de Operação para algumas topologias de ADC.

Fonte: STEYAERT; PALMERS; CORNELISSENS, 2011.

(27)

26 Observa-se que, para algumas topologias estão associados padrões de comunicação sem fio os quais admitem o uso deste tipo de conversor, como é o caso do ADC Pipeline que é empregado nos padrões Bluetooth e IEEE802.11a. Nota-se também os extremos, o ADC do tipo Integrador apresenta uma alta precisão com elevada resolução, porém com baixa largura de frequência, indicando uma topologia lenta. De forma inversa, o ADC Flash apresenta baixa resolução, em contrapartida, é uma das topologias mais rápidas adotadas.

2.2.3.1. Conversor ADC Sigma-Delta

Uma topologia já estabelecida de conversor ADC é a Sigma-Delta ( ). Esta arquitetura é capaz de obter alta precisão utilizando um quantizador, de certo modo, simples (resolução de 1 bit), e isto é alcançado a partir de dois princípios: sobre amostragem e modelagem de ruído.

Utilizando-se uma frequência de amostragem suficientemente superior a taxa de Nyquist, o ruído de quantização é espalhado ao longo de todo o espectro da largura de banda de conversão, por fim, um filtro decimador digital é alocado na saída do conversor afim de eliminar o ruído dentro da faixa de frequência que se encontra o sinal desejado. Como resultado, apenas uma pequena porção de ruído de quantização é deixado após o filtro. A modelagem do ruído é o processo no qual o ruído de quantização é moldado de forma a ser possível sua transferência para fora da banda de frequência do sinal, resultando assim em um ruído de quantização ainda menor (PARK, [20--]). A Figura 06 mostra a arquitetura básica para este ADC.

Figura 06 - Arquitetura básica de um ADC Sigma-Delta.

Fonte: STEYAERT; PALMERS; CORNELISSENS, 2011.

Assumindo que o ruído de quantização pode ser mensurado como um ruído branco na fonte (Ruído Gaussiano), e se o filtro é composto por um conjunto de n integradores postos em cascata, a relação Sinal-Ruído (SNR) pode ser calculada segundo a equação 2. Sendo B o número de bits utilizados no quantizador, OSR a taxa de sobre amostragem (definida como

(28)

27 , com sendo a frequência de amostragem e a largura de banda) (STEYAERT;

PALMERS; CORNELISSENS, 2011).

A arquitetura do conversor parâmetros, como segue na Figura 07.

Figura 07 - Opções de Reconfigurabilidade para um conversor Sigma-Delta padrão.

Fonte: STEYAERT; PALMERS; CORNELISSENS, 2011.

2.2.4. Conversor Digital-Analógico (DAC)

A conversão Digital-Analógico é um processo de transformação de um sinal digital em seu correspondente analógico. O sinal digital é composto por níveis de amplitude discretizados no tempo e este é convertido em um sinal contínuo tanto em amplitude como ao longo do tempo.

Um bloco geral para um DAC é mostrado na Figura 08 (RAHMAN; REAZ, 2016).

Figura 08 - Diagrama de bloco para um DAC genérico.

Fonte: RAHMAN; REAZ, 2016.

(29)

28 ção diversos fatores. A decisão da arquitetura para o DAC é largamente dependente da topologia adotada para todo o transmissor (STEYAERT; PALMERS; CORNELISSENS, 2011). As várias opções relativas ao planejamento e desenvolvimento de parâmetros como frequência de portadora e frequência intermediária, por exemplo, resultam em especificações distintas para os filtros atrelados no transmissor SDR.

As principais especificações quando se implementa um DAC são: Relação Sinal-Ruído (SNR), Taxa de Perda de Portadora Adjacente (ACLR), e Intermodulação Multitom. A topologia também deve atentar para o consumo de potência, pois este se relaciona diretamente com a eficiência de conversão. Quando se aborda DAC para aplicações em SDR, a primeira especificação observa é o SNR. O SNR é determinado inicialmente com base no ruído de quantização e no ruído térmico, se algum dos dois apresentarem altas medidas então a figura do ruído irá contribuir para a degradação do sinal processado pelo DAC. ACLR é denotado para todos os dispositivos de rádio frequência que se encontram na cadeia percorrida pelo sinal, é frequentemente dominado pela distorção de intermodulação de terceira ordem dos dispositivos. Esta especificação se torna bastante relevante quando se aborda aplicações com múltiplas portadoras de frequência, como por exemplo: 3GPP e W-CDMA (PITHADIA, 2009).

2.2.4.1. Conversor DAC R2R Ladder

O Conversor R2R Ladder é DAC que consiste basicamente de uma conversão ponderada dos bits em níveis de tensão por meio de uma cadeia de resistências múltiplas de R.

Algumas configurações aperfeiçoam a topologia no tocante a precisão, como é o caso da modelagem de resistências exatas. Em contrapartida, outros designs menos complexos podem apresentar um grau de precisão não tão elevado, porém com um baixo custo de implementação (RAHMAN; REAZ, 2016).

A Figura 09 evidencia uma arquitetura padrão para este tipo de conversor. Para cada bit vindo da entrada digital do DAC, relaciona-se uma chave de seleção e uma malha de resistências cujo valor depende do peso do bit relacionado. É conhecido nas literaturas que o erro de linearidade pode ser reduzido por meio do aumento de precisão nos valores das resistências, entretanto, também é possível alcançar níveis de precisão de conversão através da adoção de resistências específicas para cada malha, isto vai depender do quão robusto é o conversor e sua aplicação (KENNEDY, 2000).

(30)

29 Figura 09 - Conversor DAC R2R Ladder genérico.

Fonte: KENNEDY, 2000.

2.3. MODENS

Durante as últimas décadas, tem havido uma crescente necessidade de plataformas que possibilitem transmissão de dados em alta velocidade. Inicialmente, a demanda era conectar sistemas de defesa de nações, porém rapidamente esta passou a ser uma necessidade de aplicações comerciais.

Para que ocorra a transmissão de sinais digitais por meio de canais analógicos, é necessário que o transmissor de dados module um sinal de frequência, ou portadora, e que de forma inversa, o receptor demodule essas informações da portadora. O transceptor conhecido por realizar estas funções é o Modem (HAYKIN; MOHER, 2011). As arquiteturas dos modens são estabelecidas com base nas suas aplicações, bem como os tipos de modulação que empregam para permitir a comunicação entre sistemas. Existem três tipos básicos de esquemas de modulação digital, são elas:

Modulação em Amplitude (ASK Amplitude Shift-Keying);

Modulação em Frequência (FSK Frequency Shift-Keying);

Modulação em Fase (PSK Phase Shift-Keying);

Como as próprias designações descrevem, cada tipo de modulação exerce um efeito sobre uma onda portadora, seja por meio da modificação de amplitude, fase ou frequência.

(31)

30 A Tabela 04 - Padrões de Modens ITU/T. apresenta padrões de Modens utilizados internacionalmente, segundo a ITU/T (Setor de Normatização das Telecomunicações), para comunicação via linha telefônica:

Tabela 04 - Padrões de Modens ITU/T.

Designação

ITU/T Taxa em bps Modulação Operação

V.21/Bel103 300 FSK Duplex

V.22 1200 DPSK Duplex

V.22 bis 2400 QAM Duplex

V.23/Bell 202 1200/75 FSK Semi Duplex

Bell 212^A 1200 DPSK Duplex

V.32 9600 QAM Duplex CE

V.32 bis 14400 DPSK Duplex CE

V.32 Ter 19200 DPSK Duplex CE

V.34 28800 DPSK Duplex CE

V.90 56600 DPSK Duplex CE

Fonte: (CIRCUITS, 2018).

2.4. SISTEMAS DE MODULAÇÃO

O propósito de um sistema de informação é o de transmitir informações através de um meio ou canal de comunicação que separa o transmissor do receptor. A informação é frequentemente representada como um sinal de banda base, isto é, um sinal cujo espectro se estende de 0 a uma frequência máxima bem definida. A utilização apropriada do canal de comunicação frequentemente exige um deslocamento na faixa de frequência da banda base para outra faixa de frequência adequada à transmissão, bem como um retorno correspondente à faixa de frequência original após a recepção (HAYKIN; MOHER, 2011). Sendo assim, alguma forma e deslocamento de banda deve ser empregada para que o sistema de comunicação opere satisfatoriamente.

Um deslocamento da faixa de frequência de um sinal é realizado utilizando-se a modulação, que é definida como o processo pelo qual alguma característica de uma portadora varia de acordo com uma onde modulante (sinal). Uma forma comum de portadora é uma onda senoidal. O sinal em banda base é definido como a onda modulante. O resultado do processo de modulação é identificado como onda modulada (CARVALHO, 2009).

(32)

31 A modulação é realizada nas etapas finais do processo de transmissão. Ao final da recepção, geralmente é requerido o sinal de banda base original restaurado, para isto, realiza-se o processo denominado de demodulação, que é o reverso da modulação (HAYKIN; MOHER, 2011).

2.4.1. Modulação em Amplitude (AM)

Para a onda de rádio transportar os sinais elétricos da informação (voz, música, imagem ou dados), procede-se a modulação da onda portadora de rádio frequência. Como a onda portadora e o sinal que leva a informação são do tipo analógico, a modulação é dita analógica.

O circuito eletrônico encarregado de modular a onda denomina-se modulador. O sinal que modula a onda portadora é o sinal modulante ou modulador e a onda resultante é a onda modulada (MEDEIROS, 2012).

A frequência do sinal modulante é dita e a frequência da onda portadora é . Para modular analogicamente a portadora de amplitude e fase , a condição necessária é que

. Sendo a equação 3 a expressão que descreve a onda portadora, tem-se :

Quando se tem somente variações de amplitude na onda modulada por ação do sinal modulante, permanecendo-se constante a frequência e fase da onda portadora, a modulação é dite em amplitude ou AM. O estudo matemático da modulação consiste, inicialmente, na determinação das expressões matemáticas da portadora e do sinal modulante para se chegar à expressão da onda modulada. Sendo o sinal modulante

Sendo o índice de modulação, a expressão para o sinal modulado em amplitude é:

(33)

32 O primeiro termo da equação 6 corresponde à onda portadora pura e os outros dois termos, às bandas laterais, uma superior (BLS) e uma inferior (BLI), respectivamente. A potência das duas bandas laterais somadas equivalem a potência do sinal modulador . (CARVALHO, 2009).

A Figura 10 apresenta as formas de onda no domínio do tempo da onda portadora (b), sinal modulador (a) e onda modulada (c). Para a forma de onda (c) Onda Modulada, observa- se a envoltória característica deste tipo de modulação. A Figura 11 demonstra as formas para a representação no domínio da frequência para a modulação AM. Nota-se que para este tipo de modulação, em amplitude, conhecida como AM-DSB (Double Side Band), as componentes de frequência das duas bandas laterais e da onda portadora são transmitidas, BLI, BLS e , respectivamente. Observa-se espectro de frequência ocupadas pela AM-DSB, centrado em e com uma banda total igual a .

Figura 10 - Formas de onda para Modulação em Amplitude.

Fonte: HAYKIN; MOHER, 2011.

Figura 11 - Representação no domínio da frequência para Modulação AM.

Fonte: HAYKIN; MOHER, 2011.

(34)

33 A modulação em amplitude se caracteriza por ser um processo que desperdiça potência e espectro de frequência, uma vez que a onda portadora é completamente independente do sinal de banda base portador da informação, logo, a transmissão da onda portadora representa um desperdício de potência e espectro (HAYKIN; MOHER, 2011). Existem certas arquiteturas para moduladores AM que diminuem estes desperdícios, como AM-SSB (Single Side Band) e AM-VSB (Vestigial Side Band).

2.4.2. Modulação em Frequência (FM)

A modulação em frequência (FM) é feita quando o sinal modulante altera para mais e para menos a frequência da onda portadora , permanecendo inalterada sua amplitude e fase sem mudanças abruptas. Este é um tipo de modulação angular. Em função do índice de modulação, pode haver um sinal de faixa estreita (FMPE) ou faixa larga (FMFL), Narrowband e Wideband, respectivamente. (CARVALHO, 2009).

Considerando um sinal modulante senoidal definido pela equação 7, a frequência instantânea do sinal FM resultante é descrita na equação A quantidade é definida como o desvio de frequência, e representa o afastamento máximo da frequência instantânea do sinal FM da frequência da portadora . Uma característica importante de um sinal FM é que o é proporcional a amplitude, , do sinal modulante e independente da frequência deste (HAYKIN; MOHER, 2011).

A partir da equação 8, pode-se descrever o ângulo instantâneo

(35)

34 O índice de modulação FM, , é definido como a razão entre o desvio de frequência e a frequência do sinal modulante. A equação 9 descreve o sinal FM. Com base no valor de , é possível distinguir os dois casos para modulação FM:

Faixa/Banda Estreita: suficientemente pequeno em relação a 1 rad;

Faixa/Banda Larga:

A Figura 12 apresenta as formas de onda no domínio do tempo para a modulação em frequência. A primeira (a) demonstra uma forma de onda senoidal portadora, (b) é a onda modulante, também senoidal, e (c) é a forma de onda do sinal FM.

Figura 12 - Formas de onda para Modulação em Frequência.

Fonte: CARVALHO, 2009.

A representação no domínio da frequência é apresentada na Figura 13. Nota-se que as amplitudes de cada uma das componentes, dependentes de e (frequência da onda portadora e modulante, respectivamente), são proporcionais a índices . Estes índices compõem a Função de Bessel de primeira espécie de n-ésima ordem. As suas formas são expostas na Figura 14.

(36)

35 Figura 13 - Representação FM no domínio da frequência.

Fonte: CARVALHO, 2009.

Figura 14 - Função de Bessel de n-ésima ordem.

Fonte: CARVALHO, 2009.

Na geração do sinal FM, a frequência instantânea da onda portadora varia diretamente em conformidade com o sinal de mensagem por meio de um dispositivo conhecido como Oscilador Controlado por Tensão (HAYKIN; MOHER, 2011).

(37)

36 2.4.3. Modulação em Fase (PM)

Conhecida pela sigla PM (do inglês, Phase Modulation), modulação é o sistema de modulação angular no qual o desvio de instantâneo de fase é diretamente proporcional ao sinal modulador . Como descreve a equação 11. (CARVALHO, 2009).

A constante de proporcionalidade (rad/V) é denominada constante de modulação de fase ou sensibilidade do modulador PM. O sinal modulado em fase (PM) é descrito segundo a equação 12.

Sendo a amplitude e frequência, respectivamente, da onda portadora senoidal. A frequência instantânea (em Hz) do sinal modulado em fase é dado na equação 13.

A modulação em fase é bastante semelhante a FM, entretanto algumas diferenças devem ser ressaltadas. Uma das principais diferenças é que a frequência do sinal FM é diretamente proporcional a amplitude do sinal modulante, como mostra a . Já a modulação PM, implementa um sinal cuja frequência é proporcional a derivada do sinal modulante ( ), levando-se em conta também o sinal da derivação. Como mostra a Figura 15, em vermelho o sinal modulante, em azul a onda modulada em fase.

Figura 15 - Formas de onda para Modulação em Fase.

Fonte: MEDEIROS, 2012.

(38)

37 Para o domínio da frequência, a modulação FM e PM são bastante semelhantes, pois afetam a frequência da onda portadora de modo similar, como mostra a Erro! Autoreferência de indicador não válida.. Nota-se as componentes de frequência cujas magnitudes dependem do coeficiente dado pela Função de Bessel, assim como na modulação FM.

Figura 16 - Modulação PM no domínio da frequência.

Fonte: MEDEIROS, 2012.

2.4.4. Esquemas de Modulação Digital

O canal de comunicação utilizado para transmissão passa-faixa pode se apresentar como um link sem fio em uma rede de área local, um canal de satélite, ou similares. De qualquer forma, o processo de modulação que torna a transmissão possível envolve, de alguma maneira, a comutação (ou chaveamento) de amplitude (ASK), chaveamento de frequência (FSK) e chaveamento de fase (PSK), que podem ser vistos como casos especiais de modulação em amplitude, frequência e fase, respectivamente. Uma característica distinta dos sinais FSK e PSK é que, idealmente, ambos apresentam uma envoltória constante. Esta característica os torna insensíveis a não linearidades de amplitude comumente encontradas em links de rádio e canais de satélite. Por esta razão, os sinais FSK e PSK são mais comumente utilizados em comparação com o ASK. (HAYKIN; MOHER, 2011).

(39)

38 Uma característica importante para o processe de comunicação passa-faixa é a presença do detector de sinal, que depende de como a codificação do sinal é realizada (CARVALHO, 2009). Existem dois casos no que se refere a detecção do sinal modulado, são eles:

Detecção Coerente: O receptor está sincronizado temporalmente com o transmissor, ou seja, o receptor reconhece os instantes de tempo em que a modulação muda de estado.

Na prática, o receptor deve incluir um circuito de recuperação de temporização. Em alguns casos, também se assume que o receptor está sincronizado em fase com o transmissor;

Detecção Não Coerente: Quando há nenhum tipo de sincronismo entre o transmissor e o receptor. No geral, envolve circuitos de mais complexos;

Figura 17 - Forma de onda para modulação ASK - On-Off.

Fonte: CARVALHO, 2009.

O ASK (Amplitude Shift Keying), ou chaveamento por desvio de amplitude, consiste em alterar a amplitude da onda portadora com a fixação de dois ou mais níveis de amplitude. Para o caso de modulação binária, o bit 1 representa um nível de amplitude e o bit 0 um segundo nível, mantendo-se constantes a frequência e a continuidade da fase (MEDEIROS, 2012). O tipo mais simples é o chaveamento On-Off OOK, como pode ser visualizado na Figura 17. A Figura 18 apresenta um esquema genérico de modulação ASK.

Na modulação FSK (Frequency Shift Keying), bastante utilizado em sistemas de rádio, o chaveamento altera a frequência da onda portadora, mantendo-se constante a amplitude e a continuidade da fase. Assim, a portadora assume dois valores de frequências, um para o bit 1 e outro para o bit 0. Como mostra a Figura 19, que apresenta as formas de onda para a modulação FSK e um esquema genérico para esta. A largura de banda para este tipo de modulação é diretamente proporcional ao número de frequências e bits que se modula. (MEDEIROS, 2012).

(40)

39 Figura 18 - Arquitetura genérica para o modulador ASK.

Fonte: CARVALHO, 2009.

Figura 19 - Esquema para um Modulador FSK genérico e sua a forma de onda FSK.

Fonte: MEDEIROS, 2012.

A modulação PSK (Phase Shift Keying) consiste no chaveamento de modo a alterar a fase da portadora senoidal em um ou mais pontos do período da senide ( ), mantendo-se constantes a amplitude e a frequência da onda modulada. Como exemplo, a Figura 20 apresenta a forma de onda de um sinal PSK cuja modulação apresenta chaveamento em

e , pelos bits 1 e 0 respectivamente. (MEDEIROS, 2012). A Figura 21 traz um esquema genérico para um modulador PSK.

Figura 20 - Forma de onda para um sinal PSK.

Fonte: MEDEIROS, 2012.

(41)

40 Figura 21 - Modulador PSK genérico.

Fonte: MEDEIROS, 2012.

Nos sistemas de transmissão de dados binários considerados anteriormente, pode-se enviar apenas um de dois tipos de sinais possíveis para cada intervalo de bit. Entretanto, em sistemas de transmissão de dados M-ários, pode ser realizado a transmissão de vários bits para cada formato de sinal modulado (HAYKIN; MOHER, 2011). A Figura 22 apresenta algumas representações para esquemas de modulação M-árias demonstrando a quantidade bits (pontos) que podem ser modulados a cada representação.

Figura 22 - Representação para Modulações M-árias. (a) ASK, (b) PSK, (c) 4-ASK, (d) 16- QAM, (e) 4-QAM, (f) 8-PSK.

Fonte: HAYKIN; MOHER, 2011.

(42)

41 2.5. PROTOTIPAGEM EM SISTEMAS DIGITAIS

O rápido aumento da complexidade de projetos de sistemas em chip (SoC) tem encorajado a comunidade de desenvolvedores de aplicações a buscar novos níveis de abstração com uma maior produtividade em comparação com RTL (Registe Transfer Level). A automação de designs a nível de sistemas eletrônicos (ESL) tem sido amplamente identificada como a próxima geração em termos de velocidade e produtividade na indústria de semicondutores, onde a síntese em alto nível desempenha um papel central, possibilitando a síntese automática de sistemas robustos (CONG et al., 2011). O desenvolvimento em circuitos integrados de aplicação especifica (ASICs) e/ou matrizes de portas programáveis em campo (FPGAs) se apresentam como eficientes formas de implementação, levando-se em conta performance, potência e custos de desenvolvimento e testes.

2.5.1. Matrizes de Portas Programáveis em Campo

Matrizes de Portas Programáveis em Campo, também conhecido pela sigla FPGA, foram introduzidas pela primeira vez por volta de três décadas atrás. Desde então, tem sido observado um rápido crescimento para esta classe de dispositivo, tornando o FPGA um popular meio de implementação de dispositivos digitais (FAROOQ; MARRAKCHI; MEHREZ, 2012).

Os avanços tecnológicos melhoraram bastante a capacidade lógica de processamento dos FPGAs, e por sua vez, tornou-os viáveis para aplicações em projetos maiores e complexos.

Um FPGA é uma matriz de portas com interconexões programáveis e funções lógicas que podem ser redefinidas a qualquer etapa da implementação. Dispositivos programáveis em campo podem ser agrupados em duas categorias: (CUMMINGS; HARUYAMA, 1990).

Dispositivo de Lógica Programável (PLD);

Matrizes de Portas Programáveis em Campo (FPGA);

PLDs são dispositivos que implementam toda a lógica de funcionamento por meio de soma de produtos dos sinais de entrada, e isto é usualmente ineficiente, pois consome bastante área de chip para realizar esta tarefa. Por outro lado, FPGAs consistem em matrizes de blocos lógicos e interconexões que ligam os blocos entre si (FAROOQ; MARRAKCHI; MEHREZ, 2012).

(43)

42 A programação da lógica e roteamento das interconexões entre os blocos lógicos (BLs) fazem do FPGA flexível e de uso geral, porém, estes fatos também o torna maior fisicamente, lento e com uma eficiência energética menor quando comparado com circuitos integrados de aplicação específica, conhecidos como ASICs (FAROOQ; MARRAKCHI; MEHREZ, 2012).

Entretanto, constantes avanços tecnológicos em melhores arquiteturas, mais rápidas e eficientes visam mitigar as diferenças de desempenho entre FPGAs e ASICs.

Um FPGA é constituído a partir da combinação de três estruturas bem definidas, são elas:

Blocos Lógicos Configuráveis (CLBs): Onde as funções lógicas são implementadas por meio de programação;

Interconexões: Promove o roteamento dos dados por toda a estrutura do FPGA;

Blocos de Entrada/Saída (I/O): São ligados aos CLBs por meio das interconexões.

Promovem a interface do FPGA com o exterior;

Figura 23 - Arquitetura interna de um FPGA.

Fonte: FAROOQ; MARRAKCHI; MEHREZ, 2012.

(44)

43 Como pode ser observado na Figura 23, os CLBs são arranjados em uma matriz bidimensional e conectados por meios dos roteamentos configuráveis. Os blocos de entrada e saída (I/O) são postos nas regiões periféricas da matriz e também ligados às interconexões. A programabilidade/reconfigurabilidade de um FPGA é baseada em ferramentas de programação que ocasionam mudanças de lógica de comportamento mesmo após o chip está fabricado (FAROOQ; MARRAKCHI; MEHREZ, 2012).

Os Blocos Lógicos Configuráveis (CLBs) são as estruturas principais de um FPGA, eles promovem a parte principal da lógica de funcionamento do dispositivo. Esta estrutura é composta por conjuntos de Elementos Lógicos Básicos (BLEs), de quatro a dez, dependendo da robustez do modelo de FPGA. Cada BLEs implementa internamente algumas funções lógicas pré-estabelecidas, como MUX, Flip-Flops, alguns elementos de memória e por fim as chamadas Look-Up Tables (tabelas que implementam lógicas complexas por meio de entradas e saídas) (CUMMINGS; HARUYAMA, 1990). A estrutura interna de um BLEs está exposta na Figura 24.

Figura 24 - Estrutura interna de um Elemento Lógico Básico (BLE).

Fonte: FAROOQ; MARRAKCHI; MEHREZ, 2012.

(45)

44 Designs de FPGAs mais complexos promovem a utilizam de estruturas diferenciadas afim de otimizar o seu desempenho, como é o caso das Switch Box e Connection Box, que rearranjam as interconexões e acarretam como resultado um melhor roteamento das estruturas internas do FPGA. Ver Figura 25.

Figura 25 - Arquitetura mais complexa para um FPGA.

Fonte: FAROOQ; MARRAKCHI; MEHREZ, 2012.

FPGAs mais modernos têm uma densidade lógica superior, baixo custo e especificações de performance comparadas a microprocessadores de alto nível. Com um grande número de portas programáveis por unidade de área de chip, FPGAs são agora capazes de implementar sistemas digitais operando em frequências acima de 500 MHz. Comercialmente, estes dispositivos são divididos em duas categorias: os que admitem apenas uma configuração, são bastante econômicos; e os que permitem reconfigurações, geralmente possuem SRAMs ou EPROMs (QASIM; ABBASI; ALMASHARY, 2009).

2.5.2. Linguagens de Descrição de Hardware

Uma Linguagem de Descrição de Hardware (HDL) descreve, através de linhas de código, o que um sistema digital faz e como. Esta consiste em um tipo de programação de alto nível que permite descrever todas as características importantes de um sistema lógico para

(46)

45 diversos níveis de complexidade, descrevendo o comportamento do circuito digital em diferentes estágios de abstração (comportamental, transferência de registradores RTL, portas lógicas). Um sistema descrito em HDL pode ser implementado em um dispositivo programável (PLD ou FPGA) permitindo assim o uso em campo do seu sistema, tendo como grande vantagem a possibilidade de alteração do código quando pertinente (DAMORE, 2012).

Existem diversas HDLs, as mais conhecidas e padronizadas pelo IEEE (Institute of Electrical and Electronic Engineers) são Verilog HDL e VHDL, sendo a última mais aceita entre projetistas. VHDL (Very High Speed Integrated Circuit Hardware Description Language) é uma linguagem de descrição de hardware específica, criada pelo departamento de defesa dos Estados Unidos por volta dos anos 80 (WAIN et al., 2006). Esta linguagem apresenta uma descrição textual (algoritmo) para desenvolver um circuito sem a necessidade de especificar explicitamente as ligações entre os blocos e componentes do sistema. O VHDL é amplamente utilizado para tarefas de documentação, descrição, síntese, simulação, testes, verificação formal e etc.

Dispositivos de hardware programado digitalmente operam de forma paralela, portanto, uma linguagem de programação convencional não pode precisamente descrever ou modelar tal sistema pois está baseada na execução sequencial de instruções. Para este caso, o VHDL é apropriado, pois seu processo opera também em paralelo (DAMORE, 2012).

(47)

46

3. METODOLOGIA

Este trabalho concentrou-se no estudo e desenvolvimento de um método que possibilitasse o processamento digital de dados, afim de realizar a modulação e demodulação de ondas portadoras em alta frequência por meio da combinação de arquiteturas programáveis e implementáveis em dispositivo de uso geral, sendo possível seu emprego em aplicações envolvendo rádio definido por software.

Para possibilitar tal desenvolvimento, foi necessário, de início, um estudo abrangente em literaturas a respeito dos processos e métodos de comunicação analógico e digital mais adotados, tanto em meio acadêmico a nível de pesquisa como também nas áreas comerciais e industriais. Foram estudadas as partes integrantes de um rádio definido por software dando uma maior ênfase ao modem. Em seguida, deu-se sequência a pesquisa acerca das arquiteturas básicas para as modulações digitais em amplitude (ASK), em fase (PSK) e em frequência (FSK), bem como os métodos a serem empregados de maneira a torná-los programáveis e funcionais em uma linguagem de descrição de hardware.

A linguagem escolhida para a implementação do Modem Multimodo foi a VHDL, sendo está bastante empregada em pesquisas científicas e desenvolvimento comercial de aplicações.

Sua sintaxe bem estruturada facilita o desenvolvimento do código e suas alterações futuras, possibilitando assim uma flexibilidade acentuada ao longo do desenvolvimento.

Como ferramenta para desenvolvimento do código, foi utilizado a plataforma Quartus II pertencente a empresa Altera. Com um ambiente enxuto e bastante intuitivo de desenvolvimento, este software se destaca como um dos mais utilizados para aplicações envolvendo VHDL. O mesmo admite além de programação em VHDL, outros tipos de métodos como Verilog, esquemático e entre outros. Possui um ambiente de simulação e prototipagem em FPGA, tendo como ferramenta opcional a função de otimização de síntese, que melhora em parte a performance do código embarcado no chip. Para o desenvolvimento de cada umas das arquiteturas de modulação e demodulação, seguiu-se o diagrama de fluxo mostrada na Figura 26.

(48)

47 Figura 26 - Fluxograma de desenvolvimento dos códigos no Quartus II.

Fonte: Autor.

Apesar do Quartus II ter uma ferramenta interna de simulação de código, esta não apresentou uma visualização satisfatória para os dados de saída durante as simulações, em decorrência disto, lançou-se mão de um segundo software chamado ModelSim. Sendo ele bastante utilizado em trabalhos acadêmicos e mais profissional devido a sua usabilidade, proporcionando diversas ferramentas de simulação, sendo possível a verificação do código em muitos aspectos.

Afim de possibilitar as simulações e os futuros testes experimentais, foi também desenvolvido junto aos códigos do Modem Multimodo, um gerador pseudoaleatório de bits cuja arquitetura já é bem estabelecida nas literaturas (AHAMED; SCARPINO, 2005), sendo este a fonte dos dados a serem modulados. Sendo assim, o código foi simulado e foram analisadas não apenas as entradas e saídas, mas também variáveis e sinais internos. As simulações ocorreram com as arquiteturas de modulação e demodulação postas separadamente, e em seguida em conjunto.

Ao término das fases de desenvolvimento dos códigos e simulação destes, foi dado o início da implementação em FPGA. Para isto, foi utilizada a placa de desenvolvimento e prototipagem DE0 da Altera, vista na Figura 27. Está possui entre outros componentes, um FPGA da família Cyclone III EP3C16F484, junto a um oscilador (clock) de 50 MHz para aplicações de uso geral. Desta forma, foi definida a pinagem das entradas e saídas dos códigos na placa para que fosse possível a visualização destes por meio de um osciloscópio na fase de obtenção de resultados.

(49)

48 Figura 27 - Placa DE0.

Fonte: ALTERA, 2018.

Afim de realização de um processo de testes experimentais conclusivo e satisfatório, foi necessário o desenvolvimento de dois circuitos auxiliares ao modem embarcado na placa DE0.

Foram desenvolvidos dois conversores, um R2R Ladder (conversor A/D) e Sigma-Delta (conversor D/A). Os esquemas para os conversores foram escolhidos devido a fácil integração deles com o tipo de dados a serem trabalhados. Com o desenvolvimento dos conversores, foram realizados testes para comprovar o correto funcionamento das arquiteturas de conversão com o auxílio de um gerador de sinais de um osciloscópio.

Finalizando, foram realizados os testes envolvendo os esquemas de modulação e demodulação embarcados no FPGA e integrados com o auxílio dos conversores analógico- digital e digital-analógico, sendo possível a visualização, por meio de osciloscópio, dos sinais de dados fornecidos pelo gerador pseudoaleatório, dos sinais modulados no domínio do tempo e seus respectivos espectros de frequência. Foi também analisado o correto funcionamento da recuperação dos dados modulados através do demodulador, verificando a taxa de erros de bits por meio de uma bancada de testes implementada e simulada no ModelSim, finalizando assim a fase de obtenção dos resultados e dando-se início a análise destes.

(50)

49

4. DESENVOLVIMENTO DO MODEM MULTIMODO

Com o estudo das arquiteturas mais consolidadas para moduladores e demoduladores digitais, foi dado início a implementação do modem capaz de operar nos três esquemas de modulação básicos: ASK, FSK e PSK. O desenvolvimento se deu em VHDL no software Quartus II, e a seguir este será abordado mais afundo.

O esquema geral do Modem Multimodo é composto pelo conjunto de moduladores, demoduladores e osciladores (ver Figura 28), tendo exclusivamente como entradas o Clock, os dados, a seleção (Sel) e o sinal modulado; e saídas o sinal modulado e os dados recuperados. O clock, proveniente do kit de desenvolvimento DE-0, é o sinal que irá proporcionar o sincronismo de todas as arquiteturas intrínsecas ao modem. Os dados de entrada serão utilizados para realizar o processo de modulação,que é a informação a ser transmitida. O sinal de seleção (Sel) é a variável que irá definir em qual esquema de modulação o modem vai operar a cada instante de tempo. O sinal modulado é a saída do bloco modulador e também pode ser relacionado a entrada do bloco demodulador. Os dados recuperados são os sinais provenientes da saída do demodulador, eles representam a informação recuperado após o processo de modulação e demodulação.

Figura 28 - Diagrama de blocos para o Modem Multimodo.

Fonte: Autor.

(51)

50 A seguir serão abordados os blocos que compõem o Modem Multimodo, assim como os esquemas de geração de dados e os conversores que permitiram os testes experimentais do sistema.

4.1. OSCILADOR LOCAL

Uma das principais estruturas que fazem parte do Modem Multimodo, o Oscilador Local (OL) é um circuito digital que a partir de um sinal de clock, gera um sinal digitalizado, ou seja, quantizado e discretizado ao longo do tempo, de formato senoidal. A Figura 29 apresenta a estrutura do OL com seus componentes internos.

Figura 29 - Diagrama do blocos do Oscilador Local.

Fonte: Autor.

A partir de uma série de pulsos (clock), o Contador realiza a soma unitária de modo a gerar em sua saída uma contagem, que por sua vez é encaminhada a uma Lookup Table (LUT).

A LUT é uma matriz cujas células são endereçadas, de modo a ter em sua saída o valor presente naquela determinada célula endereçada na entrada da LUT. Para este caso do Oscilador Local, os valores presentes nas células da LUT acompanham os níveis de uma senoide digitalizada.

Logo, a cada mudança de endereço (contagem gerada pelo bloco Contador), gera-se na saída um valor que ao longo do tempo forma uma senoide. A resolução de saída do OL é totalmente reconfiguravel, tendo como fator a ser levado em consideração a resolução mínima a ser definida em código de modo a obter uma forma de onda aceitável após a conversão Digital- Analógica. A resolução adotada foi de 9 bits.

4.2. MODULADOR ASK

O Modulador ASK realiza o processo de modulação pelo método de chaveamento da amplitude de um sinal senoidal. Como mostra a Figura 30, o Modulador ASK implementado

Referências

Documentos relacionados

Como foi visto, a primeira etapa do processo decisório de consumo é o reconhecimento da necessidade, com isso, observou-se que, nessa primeira etapa, os consumidores buscam no

Pela sociedade do nosso tempo: para que as pessoas não se deixem vencer pela tentação do ateísmo, do consumismo e do hedonismo, mas se esforcem por construir a vida de

Ninguém quer essa vida assim não Zambi.. Eu não quero as crianças

Resumidamente a forma de comercialização dos apartamentos FLAT e operação de locação dos apartamentos do HOTEL para uma bandeira hoteleira proposta a seguir objetiva a

“O aumento da eficiência e o plano de produção fizeram com que a disponibilidade das células de fabricação aumentasse, diminuindo o impacto de problemas quando do

Este trabalho traz uma contribuição conceitual sobre a utilização do sistema de gestão de produtividade que poderá motivar futuras pesquisas sobre o tema, bem

Esta Teoria de Educação desenvolveu-se aos poucos, mas desde o seu princípio, assumiu alguns pressupostos teóricos vindos de outras áreas. É o caso da ontologia realista,

No panorama internauta são vários os softwares à disposição do utilizador que servem para converter ficheiros PDF em flipbooks, que nada mais são que livros ou publicações